Commit 8170acea authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

genrams: KEEP attribute for FIFO resets to facilitate constraining

parent 347e0de1
......@@ -136,6 +136,9 @@ architecture syn of inferred_async_fifo is
signal q_int : std_logic_vector(g_data_width-1 downto 0) := (others => '0');
attribute keep : string;
attribute keep of rst_n_i : signal is "true";
begin -- syn
rd_int <= rd_i and not empty_int;
......
......@@ -126,6 +126,10 @@ architecture arch of inferred_async_fifo_dual_rst is
signal q_int : std_logic_vector(g_data_width-1 downto 0) := (others => '0');
attribute keep : string;
attribute keep of rst_wr_n_i : signal is "true";
attribute keep of rst_rd_n_i : signal is "true";
begin -- arch
rd_int <= rd_i and not empty_int;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment