• Cesar Prados's avatar
    generic_fifos: reorganization of the inferred, generic and altera fifos · bd7bca1c
    Cesar Prados authored
    The so-called "inferred_X_fifo" are basically generics fifos using
    inferred rams blocks from altera or xilinx, depending the target
    platform. That's why it makes more sense to move them to the "generic"
    folder of genrams. This change forces to rename the "generic_X_fifo"
    under "altera". Since these fifos are using the altera fifo  Megafunction,
    are going to be called "altera_X_fifo". The Manifest has been changed accordingly.
    bd7bca1c
generic_shiftreg_fifo.vhd 6.69 KB