Skip to content
Snippets Groups Projects
Commit e9dd7907 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski Committed by Tomasz Wlostowski
Browse files

modules/wishbone/wbgen2: moved wbgen2 entities to work library.

parent 0af073e1
Branches
Tags
No related merge requests found
......@@ -4,8 +4,8 @@ use ieee.std_logic_1164.all;
--use work.genram_pkg.all;
--use work.common_components.all;
library wbgen2;
use wbgen2.wbgen2_pkg.all;
--library wbgen2;
use work.wbgen2_pkg.all;
entity wbgen2_dpssram is
......
......@@ -3,8 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library wbgen2;
use wbgen2.wbgen2_pkg.all;
use work.wbgen2_pkg.all;
entity wbgen2_eic is
......
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.genram_pkg.all;
library wbgen2;
use wbgen2.wbgen2_pkg.all;
use work.wbgen2_pkg.all;
entity wbgen2_fifo_async is
generic (
......
......@@ -2,8 +2,7 @@
library ieee;
use ieee.std_logic_1164.all;
library wbgen2;
use wbgen2.wbgen2_pkg.all;
use work.wbgen2_pkg.all;
entity wbgen2_fifo_sync is
......
library ieee;
use ieee.std_logic_1164.all;
library wbgen2;
package wbgen2_pkg is
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment