Skip to content
Snippets Groups Projects
Commit c14e64d2 authored by Wesley W. Terpstra's avatar Wesley W. Terpstra
Browse files

bugfix: Reset counter was using wrong generic parameter

parent ee95bca9
Branches
Tags
No related merge requests found
...@@ -19,11 +19,11 @@ architecture rtl of gc_reset is ...@@ -19,11 +19,11 @@ architecture rtl of gc_reset is
type t_shifters is array(natural range <>) of t_shifter; type t_shifters is array(natural range <>) of t_shifter;
signal shifters : t_shifters(g_clocks-1 downto 0) := (others => (others => '0')); -- start reset signal shifters : t_shifters(g_clocks-1 downto 0) := (others => (others => '0')); -- start reset
signal locked_count : unsigned(g_syncdepth-1 downto 0) := (others => '0'); signal locked_count : unsigned(g_logdelay-1 downto 0) := (others => '0');
signal master_rstn : std_logic; signal master_rstn : std_logic;
begin begin
lock : process(free_clk_i) lock : process(free_clk_i)
constant locked_done : unsigned(g_syncdepth-1 downto 0) := (others => '1'); constant locked_done : unsigned(g_logdelay-1 downto 0) := (others => '1');
begin begin
if rising_edge(free_clk_i) then if rising_edge(free_clk_i) then
if locked_i = '0' then if locked_i = '0' then
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment