Skip to content
Snippets Groups Projects
Commit a48e2647 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski
Browse files

xwb_onewire_master: addr size bugfix

parent 8886f93e
Branches
Tags
No related merge requests found
......@@ -42,7 +42,7 @@ architecture rtl of xwb_onewire_master is
wb_sel_i : in std_logic_vector(3 downto 0);
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_adr_i : in std_logic_vector(0 downto 0);
wb_adr_i : in std_logic_vector(1 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_ack_o : out std_logic;
......@@ -72,7 +72,7 @@ begin -- rtl
wb_sel_i => slave_i.Sel,
wb_stb_i => slave_i.stb,
wb_we_i => slave_i.we,
wb_adr_i => slave_i.adr(0 downto 0),
wb_adr_i => slave_i.adr(1 downto 0),
wb_dat_i => slave_i.Dat,
wb_dat_o => slave_o.dat,
wb_ack_o => slave_o.ack,
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment