Skip to content
Snippets Groups Projects
Commit 7b5da1f2 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski Committed by Tomasz Wlostowski
Browse files

wb_virtual_uart: fixed invalid port size in WB slave component declaration

parent 6bf86846
Branches
Tags
No related merge requests found
......@@ -41,7 +41,7 @@ architecture struct of wb_virtual_uart is
uart_debug_wr_req_i : in std_logic;
uart_debug_wr_full_o : out std_logic;
uart_debug_wr_empty_o : out std_logic;
uart_debug_wr_usedw_o : out std_logic_vector(7 downto 0);
uart_debug_wr_usedw_o : out std_logic_vector(10 downto 0);
uart_debug_tx_i : in std_logic_vector(7 downto 0);
uart_debug_dupa_i : in std_logic_vector(31 downto 0));
end component;
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment