Skip to content
Snippets Groups Projects
Commit 6346131a authored by Wesley W. Terpstra's avatar Wesley W. Terpstra
Browse files

wishbone_pkg: fix ghdl compile errors; type not locally static

The subrange type might not be known in this context.
Fixes:
  wishbone_pkg.vhd:1379:26: object subtype is not locally static
parent 30a36213
Branches
Tags
No related merge requests found
...@@ -1362,6 +1362,7 @@ package body wishbone_pkg is ...@@ -1362,6 +1362,7 @@ package body wishbone_pkg is
variable v_map : std_logic_vector(c_records'length downto 0) := (others => '0'); variable v_map : std_logic_vector(c_records'length downto 0) := (others => '0');
variable v_cursor : unsigned(63 downto 0) := (others => '0'); variable v_cursor : unsigned(63 downto 0) := (others => '0');
variable v_increment : unsigned(63 downto 0) := (others => '0'); variable v_increment : unsigned(63 downto 0) := (others => '0');
variable v_type : std_logic_vector(7 downto 0);
begin begin
-- First, extract the length of the devices, ignoring those not to be mapped -- First, extract the length of the devices, ignoring those not to be mapped
for i in c_records'range loop for i in c_records'range loop
...@@ -1376,7 +1377,8 @@ package body wishbone_pkg is ...@@ -1376,7 +1377,8 @@ package body wishbone_pkg is
-- Only map devices/bridges at address zero -- Only map devices/bridges at address zero
if v_address(i) = c_zero then if v_address(i) = c_zero then
case c_records(i)(7 downto 0) is v_type := c_records(i)(7 downto 0);
case v_type is
when x"01" => v_map(i) := '1'; when x"01" => v_map(i) := '1';
when x"02" => v_map(i) := '1'; when x"02" => v_map(i) := '1';
when others => null; when others => null;
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment