Skip to content
Snippets Groups Projects
Commit 5242e2ec authored by Tomasz Wlostowski's avatar Tomasz Wlostowski Committed by Tomasz Wlostowski
Browse files

uart_baud_gen: added default initializer for BaudSreg

parent 5dfda570
Branches
Tags
No related merge requests found
......@@ -23,7 +23,7 @@ architecture behavioral of uart_baud_gen is
signal Baud8GeneratorInc : unsigned(g_baud_acc_width downto 0);
signal Baud8GeneratorAcc : unsigned(g_baud_acc_width downto 0);
signal Baud8Tick : std_logic;
signal Baud_sreg : std_logic_vector(7 downto 0);
signal Baud_sreg : std_logic_vector(7 downto 0) := "10000000";
begin -- behavioral
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment