Skip to content
Snippets Groups Projects
Commit 3bbcf4a3 authored by Tristan Gingold's avatar Tristan Gingold
Browse files

Generalize f_reduce_or.

parent 34142e4c
Branches
Tags
No related merge requests found
......@@ -239,7 +239,7 @@ package gencores_pkg is
freq_o : out std_logic_vector(g_counter_bits-1 downto 0);
freq_valid_o : out std_logic);
end component gc_multichannel_frequency_meter;
------------------------------------------------------------------------------
-- Time-division multiplexer with round robin arbitration
------------------------------------------------------------------------------
......@@ -783,10 +783,8 @@ package body gencores_pkg is
variable rv : std_logic;
begin
rv := '0';
for n in 0 to x'length-1 loop
if(x(n) = '1') then
rv := '1';
end if;
for n in x'range loop
rv := rv or x(n);
end loop;
return rv;
end f_reduce_or;
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment