Commit 2fbd1d5e authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] fix FMC slot identification of FMC-DEL in SVEC_TDC_FD ref design

parent 5d422f50
Subproject commit eca0894f19702d58d1d16bd4037cca5abc883812 Subproject commit 9b7a0f777b2478fec19d9aa261c5ed12d6b082d2
...@@ -800,6 +800,7 @@ begin -- architecture arch ...@@ -800,6 +800,7 @@ begin -- architecture arch
U_FineDelay_Core : entity work.fine_delay_core U_FineDelay_Core : entity work.fine_delay_core
generic map ( generic map (
g_FMC_SLOT_ID => 1,
g_WITH_WR_CORE => TRUE, g_WITH_WR_CORE => TRUE,
g_SIMULATION => f_int2bool(g_SIMULATION), g_SIMULATION => f_int2bool(g_SIMULATION),
g_INTERFACE_MODE => PIPELINED, g_INTERFACE_MODE => PIPELINED,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment