Commit 2adde58f authored by Maciej Lipinski's avatar Maciej Lipinski

add DIFF_TERM

parent 5dea5a40
......@@ -106,6 +106,9 @@ set_property PACKAGE_PIN AC7 [get_ports {pll_gm_sysrefreq[0]}]
set_property PACKAGE_PIN P11 [get_ports lmx_gm_clk_p]
set_property PACKAGE_PIN N11 [get_ports lmx_gm_clk_n]
set_property DIFF_TERM_ADV TERM_100 [get_ports lmx_gm_clk_p]
set_property DIFF_TERM_ADV TERM_100 [get_ports lmx_gm_clk_n]
set_property IOSTANDARD LVCMOS18 [get_ports {pll_gm_csb[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports pll_gm_sdi]
set_property IOSTANDARD LVCMOS18 [get_ports pll_gm_sck]
......@@ -218,3 +221,5 @@ set_clock_groups -name clk_grp_004 -asynchronous -group [get_clocks helper_1_p]
set_clock_groups -name clk_grp_005 -asynchronous -group [get_clocks -of_objects [get_pins {fmc_support_i/wrcore_1g_fmc_0/U0/U_GTH_instance/U_Wrapped_GTH/example_wrapper_inst/inst/gen_gtwizard_gthe4_top.wr_gth4_wrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_channel_container[26].gen_enabled_channel.gthe4_channel_wrapper_inst/channel_inst/gthe4_channel_gen.gen_gthe4_channel_inst[0].GTHE4_CHANNEL_PRIM_INST/RXOUTCLK}]] -group [get_clocks helper_1_p]
set_clock_groups -name clk_grp_006 -asynchronous -group [get_clocks -of_objects [get_pins {fmc_support_i/wrcore_1g_fmc_0/U0/U_GTH_instance/U_Wrapped_GTH/example_wrapper_inst/inst/gen_gtwizard_gthe4_top.wr_gth4_wrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_channel_container[26].gen_enabled_channel.gthe4_channel_wrapper_inst/channel_inst/gthe4_channel_gen.gen_gthe4_channel_inst[0].GTHE4_CHANNEL_PRIM_INST/TXOUTCLK}]] -group [get_clocks -of_objects [get_pins fmc_support_i/clk_wiz_0/inst/mmcme4_adv_inst/CLKOUT1]]
set_clock_groups -name clk_grp_007 -asynchronous -group [get_clocks -of_objects [get_pins {fmc_support_i/wrcore_1g_fmc_0/U0/U_GTH_instance/U_Wrapped_GTH/example_wrapper_inst/inst/gen_gtwizard_gthe4_top.wr_gth4_wrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_channel_container[26].gen_enabled_channel.gthe4_channel_wrapper_inst/channel_inst/gthe4_channel_gen.gen_gthe4_channel_inst[0].GTHE4_CHANNEL_PRIM_INST/RXOUTCLK}]] -group [get_clocks -of_objects [get_pins fmc_support_i/clk_wiz_0/inst/mmcme4_adv_inst/CLKOUT1]]
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment