Commit fa2af8c7 authored by Rafael Rodriguez's avatar Rafael Rodriguez

added class VIC_irq

parent 32a5255a
......@@ -11,6 +11,19 @@ from eeprom_24aa64 import *
from onewire import *
from ds18b20 import *
class VIC_irq:
def __init__(self, bus, base):
self.bus = bus;
self.base = base;
def set_reg(self, adr, value):
self.bus.iwrite(0, self.base + adr, 4, value)
def get_reg(self, adr):
return self.bus.iread(0, self.base + adr, 4)
class CDAC5578:
CMD_POWER_ON = 0x40
......
......@@ -30,7 +30,7 @@ def main(default_directory="."):
print "(expected room or computer temperature)"
print
print "(------------CONFIGURING LEMOS--------------)"
print "(------------CONFIGURING DIO CHANNELS--------------)"
print "Value of LEMOs with all drivers enabled and terminations disabled",
for lemon in range(5):
dio.set_term(lemon, 0)
......@@ -38,6 +38,27 @@ def main(default_directory="."):
dio.set_in_threshold(lemon,30)
print "Input threshold set to an intermediate level ({}).".format(dio.get_in_threshold(0))
print "(------------CONFIGURING INTERRUPTS--------------)"
print "(DIO Interrupts)"
# DIO Interrupts
dio.set_reg(0x64, 0x1f) # Interrupts when the fifos have datas (UTC time from the pulse stamper)
mask_irq = dio.get_reg(0x68)
print "mask_irq =>", mask_irq
status_irq = dio.get_reg(0x6c)
print "STATUS IRQ =>", status_irq
# DIO Interrupts
print "(VIC Interrupts)"
VIC = VIC_irq(spec, 0xC0000)
VIC.set_reg(0x0, 0x3) # control register
control_irq_vic = VIC.get_reg(0x0)
print "control_irq_vic =>", control_irq_vic
VIC.set_reg(0x8, 0x3) # enable register
mask_irq_vic = VIC.get_reg(0x10)
print "mask_irq_vic =>", mask_irq_vic
print
print "(------------CONFIGURING TRIG UTC TIME FOR EACH LEMO--------------)"
print "Note: The dummy time core is already running after configuring the fpga"
......@@ -68,6 +89,7 @@ def main(default_directory="."):
dio.set_reg(0x3c, 0x1f) #all trigX Enabled
exit()
print
print "Waiting pulse stamper from DIO 0...."
......@@ -138,15 +160,10 @@ def main(default_directory="."):
print "cycles DIO 4 =>", cycles
print
status_irq_vic = dio.get_reg(0x4)
print "STATUS VIC IRQ =>", status_irq_vic
#dio.set_reg(0x64, 0x1f)
# mask_irq = dio.get_reg(0x68)
# print "mask_irq =>", mask_irq
# status_irq = dio.get_reg(0x6c)
# print "STATUS IRQ =>", status_irq
#kbhit.getch()
# print "Waiting irq ..."
# gennum.wait_irq()
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment