Commit 03f0a00c authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

update gn4124 simulation model from wr-cores

parent 15aedf5f
files = ["mem_model.vhd", "textutil.vhd", "gn412x_bfm.vhd", "util.vhd", "gn4124_bfm.svh"]
files = ["mem_model.vhd", "textutil.vhd", "gn412x_bfm.vhd", "util.vhd"]
......@@ -163,8 +163,6 @@ GN412X_BFM
task automatic readback(ref uint64_t value);
@(posedge cmd_rddata_valid);
$display("Rdbk: %x", cmd_rddata);
value = cmd_rddata;
@(negedge cmd_rddata_valid);
endtask // readback
......@@ -187,8 +185,6 @@ class CBusAccessor_Gennum extends CBusAccessor;
for(i=0;i<addr.size();i++)
begin
$display("GN-write %x %x\n", addr[i], data[i]);
$sformat(cmd,"wr FF000000%08X F %08X", addr[i], data[i]);
send_cmd(cmd);
end
......@@ -199,8 +195,6 @@ class CBusAccessor_Gennum extends CBusAccessor;
int i;
uint64_t tmp;
$display("rd %x\n", addr[0]);
if(size != 4)
......@@ -209,10 +203,10 @@ class CBusAccessor_Gennum extends CBusAccessor;
for(i=0;i<addr.size();i++)
begin
$sformat(cmd,"rd FF000000%08X F", addr[i]);
// fork
fork
send_cmd(cmd);
readback(tmp);
// join
join
data[i] = tmp;
......@@ -257,7 +251,7 @@ endinterface
.l_wr_rdy (IF_NAME.L2P.l_wr_rdy),\
.p_rd_d_rdy (IF_NAME.L2P.p_rd_d_rdy),\
.tx_error (IF_NAME.L2P.tx_error),\
.vc_rdy (IF_NAME.L2P.vc_rdy)
.vc_rdy (IF_NAME.P2L.vc_rdy)
`endif // `ifndef __GN4124_BFM_SVH
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment