Commit d6c20bbf authored by Maciej Lipinski's avatar Maciej Lipinski

[VXS support] bugfix in VXS board support

I prepared it based on SPEC's board support, I forgot to update
vxs package name
parent 9b302f9c
...@@ -3,11 +3,11 @@ ...@@ -3,11 +3,11 @@
-- Project : WR PTP Core -- Project : WR PTP Core
-- URL : http://www.ohwr.org/projects/wr-cores/wiki/Wrpc_core -- URL : http://www.ohwr.org/projects/wr-cores/wiki/Wrpc_core
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : wrc_board_spec.vhd -- File : wrc_board_vxs.vhd
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules -- Description: Top-level wrapper for WR PTP core including all the modules
-- needed to operate the core on the SPEC board. -- needed to operate the core on the VXS board.
-- http://www.ohwr.org/projects/spec/ -- http://www.ohwr.org/projects/vxs/
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Copyright (c) 2018 CERN -- Copyright (c) 2018 CERN
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -41,7 +41,7 @@ use work.endpoint_pkg.all; ...@@ -41,7 +41,7 @@ use work.endpoint_pkg.all;
use work.wr_board_pkg.all; use work.wr_board_pkg.all;
use work.streamers_pkg.all; use work.streamers_pkg.all;
package wr_spec_pkg is package wr_vxs_pkg is
component xwrc_board_vxs is component xwrc_board_vxs is
generic ( generic (
...@@ -310,4 +310,4 @@ package wr_spec_pkg is ...@@ -310,4 +310,4 @@ package wr_spec_pkg is
link_ok_o : out std_logic); link_ok_o : out std_logic);
end component wrc_board_vxs; end component wrc_board_vxs;
end wr_spec_pkg; end wr_vxs_pkg;
...@@ -6,10 +6,10 @@ ...@@ -6,10 +6,10 @@
-- File : wrc_board_vxs.vhd -- File : wrc_board_vxs.vhd
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules -- Description: Top-level wrapper for WR PTP core including all the modules
-- needed to operate the core on the SPEC board. -- needed to operate the core on the VXS board.
-- Version with no VHDL records on the top-level (mainly for Verilog -- Version with no VHDL records on the top-level (mainly for Verilog
-- instantiation). -- instantiation).
-- http://www.ohwr.org/projects/spec/ -- http://www.ohwr.org/projects/vxs/
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Copyright (c) 2018 CERN -- Copyright (c) 2018 CERN
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -46,7 +46,7 @@ use work.endpoint_pkg.all; ...@@ -46,7 +46,7 @@ use work.endpoint_pkg.all;
use work.streamers_pkg.all; use work.streamers_pkg.all;
use work.wr_xilinx_pkg.all; use work.wr_xilinx_pkg.all;
use work.wr_board_pkg.all; use work.wr_board_pkg.all;
use work.wr_spec_pkg.all; use work.wr_vxs_pkg.all;
entity wrc_board_vxs is entity wrc_board_vxs is
generic( generic(
......
...@@ -3,11 +3,11 @@ ...@@ -3,11 +3,11 @@
-- Project : WR PTP Core -- Project : WR PTP Core
-- URL : http://www.ohwr.org/projects/wr-cores/wiki/Wrpc_core -- URL : http://www.ohwr.org/projects/wr-cores/wiki/Wrpc_core
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- File : xwrc_board_spec.vhd -- File : xwrc_board_vxs.vhd
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Description: Top-level wrapper for WR PTP core including all the modules -- Description: Top-level wrapper for WR PTP core including all the modules
-- needed to operate the core on the SPEC board. -- needed to operate the core on the VXS board.
-- http://www.ohwr.org/projects/spec/ -- http://www.ohwr.org/projects/vxs/
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Copyright (c) 2018 CERN -- Copyright (c) 2018 CERN
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -44,7 +44,7 @@ use work.endpoint_pkg.all; ...@@ -44,7 +44,7 @@ use work.endpoint_pkg.all;
use work.streamers_pkg.all; use work.streamers_pkg.all;
use work.wr_xilinx_pkg.all; use work.wr_xilinx_pkg.all;
use work.wr_board_pkg.all; use work.wr_board_pkg.all;
use work.wr_spec_pkg.all; use work.wr_vxs_pkg.all;
library unisim; library unisim;
use unisim.vcomponents.all; use unisim.vcomponents.all;
......
...@@ -39,7 +39,7 @@ library work; ...@@ -39,7 +39,7 @@ library work;
use work.gencores_pkg.all; use work.gencores_pkg.all;
use work.wishbone_pkg.all; use work.wishbone_pkg.all;
use work.wr_board_pkg.all; use work.wr_board_pkg.all;
use work.wr_spec_pkg.all; use work.wr_vxs_pkg.all;
use work.gn4124_core_pkg.all; use work.gn4124_core_pkg.all;
library unisim; library unisim;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment