Commit 8feb29dc authored by Tristan Gingold's avatar Tristan Gingold

wrc_core: remove unused led_green and led_red

parent 3c5cf0df
......@@ -897,8 +897,6 @@ begin
rst_net_n_o => rst_net_n,
rst_wrc_n_o => rst_wrc_n,
led_red_o => open, --led_red_o,
led_green_o => open, --led_green_o,
scl_o => scl_o,
scl_i => scl_i,
sda_o => sda_o,
......
......@@ -6,7 +6,6 @@
-- Author : Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
-- Company : CERN (BE-CO-HT)
-- Created : 2011-04-04
-- Last update: 2023-04-26
-- Platform : FPGA-generics
-- Standard : VHDL
-------------------------------------------------------------------------------
......@@ -70,8 +69,6 @@ entity wrc_periph is
rst_net_n_o : out std_logic;
rst_wrc_n_o : out std_logic;
led_red_o : out std_logic;
led_green_o : out std_logic;
scl_o : out std_logic;
scl_i : in std_logic;
sda_o : out std_logic;
......@@ -185,26 +182,6 @@ begin
end if;
end process;
-------------------------------------
-- LEDs
-------------------------------------
process(clk_sys_i)
begin
if rising_edge(clk_sys_i) then
if(sysc_regs_o.gpsr_led_link_o = '1') then
led_red_o <= '1';
elsif(sysc_regs_o.gpcr_led_link_o = '1') then
led_red_o <= '0';
end if;
if(sysc_regs_o.gpsr_led_stat_o = '1') then
led_green_o <= '1';
elsif(sysc_regs_o.gpcr_led_stat_o = '1') then
led_green_o <= '0';
end if;
end if;
end process;
-------------------------------------
-- buttons
-------------------------------------
......
......@@ -6,7 +6,6 @@
-- Author : Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
-- Company : CERN (BE-CO-HT)
-- Created : 2011-05-11
-- Last update: 2023-05-05
-- Platform : FPGA-generics
-- Standard : VHDL
-------------------------------------------------------------------------------
......@@ -297,8 +296,6 @@ package wrcore_pkg is
rst_n_i : in std_logic;
rst_net_n_o : out std_logic;
rst_wrc_n_o : out std_logic;
led_red_o : out std_logic;
led_green_o : out std_logic;
scl_o : out std_logic;
scl_i : in std_logic;
sda_o : out std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment