• Andela Kostic's avatar
    Testbench wrc_core now works with Riviera-PRO · 815f56e2
    Andela Kostic authored
    The testbench wrc_core for wrpc-v5 now works both with Riviera-PRO and ModelSim.
    In Manifest.py, some lines should be commented out depending on the simulation tool (ModelSim or Riviera).
    To run the simulation with Riviera, use run_riv.do.
    To run the simulation with ModelSim, use run.do.
    815f56e2
run_riv.do 359 Bytes