Commit 5ba6b9d5 authored by Maciej Lipinski's avatar Maciej Lipinski

[board/all] assign default zero to clk_ext_i, needed when not used

parent 6f4529b4
......@@ -74,7 +74,7 @@ package wr_spec_pkg is
wrs_rx_dreq_i : in std_logic := '0';
wb_eth_master_o : out t_wishbone_master_out;
wb_eth_master_i : in t_wishbone_master_in := cc_dummy_master_in;
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -235,7 +235,7 @@ entity wrc_board_spec is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -200,7 +200,7 @@ entity xwrc_board_spec is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -75,7 +75,7 @@ package wr_svec_pkg is
wrs_rx_dreq_i : in std_logic := '0';
wb_eth_master_o : out t_wishbone_master_out;
wb_eth_master_i : in t_wishbone_master_in := cc_dummy_master_in;
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -237,7 +237,7 @@ entity wrc_board_svec is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in std_logic;
pps_ext_i : in := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -202,7 +202,7 @@ entity xwrc_board_svec is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -156,7 +156,7 @@ package wr_vfchd_pkg is
wb_eth_err_i : in std_logic := '0';
wb_eth_rty_i : in std_logic := '0';
wb_eth_stall_i : in std_logic := '0';
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
......@@ -216,7 +216,7 @@ entity wrc_board_vfchd is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
tm_time_valid_o : out std_logic;
tm_tai_o : out std_logic_vector(39 downto 0);
......
......@@ -178,7 +178,7 @@ entity xwrc_board_vfchd is
-- WRPC timing interface and status
---------------------------------------------------------------------------
pps_ext_i : in std_logic;
pps_ext_i : in std_logic := '0';
pps_p_o : out std_logic;
pps_led_o : out std_logic;
tm_time_valid_o : out std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment