Commit 49811df1 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_endpoint: ep_packet_filter.vhd: added missing resets

parent 0a9be523
......@@ -195,6 +195,7 @@ begin -- behavioral
if rising_edge(clk_rx_i) then
if rst_n_rx_i = '0' or done_int = '1' then
stage2 <= '0';
ir <= (others => '0');
else
stage2 <= stage1;
ir <= mm_rdata;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment