Commit 0c342bd3 authored by Peter Jansweijer's avatar Peter Jansweijer

family7-gtx-lp lpdc via wishbone mdio

parent 816c0554
Pipeline #4796 failed with stage