Skip to content
Snippets Groups Projects
Commit 5ab45371 authored by Harvey Leicester's avatar Harvey Leicester
Browse files

remove unused signals

parent 169602f5
Branches
Tags
2 merge requests!25virtex6 phy uses rx_byte_is_aligned,!20Add irig slave interface
......@@ -111,8 +111,6 @@ architecture rtl of wr_irig_slave is
signal sof, synced : std_logic;
signal marker, irig_data : std_logic;
signal d_valid, field_valid, smpl_err : std_logic;
signal secs : std_logic_vector(7 downto 0);
signal mins, hrs, days0, days1, yrs, ctrl0, ctrl1, sbs0, sbs1 : std_logic_vector(8 downto 0);
begin
......
......@@ -96,7 +96,6 @@ architecture wrapper of xwr_irig_slave is
signal mins : std_logic_vector(8 downto 0);
signal hrs : std_logic_vector(8 downto 0);
signal days : std_logic_vector(10 downto 0);
signal tos : std_logic_vector(3 downto 0);
signal yrs : std_logic_vector(8 downto 0);
signal ctrl0 : std_logic_vector(8 downto 0);
signal ctrl1 : std_logic_vector(8 downto 0);
......
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment