Commit d74b6b17 authored by Matthieu Cattin's avatar Matthieu Cattin Committed by mcattin

Make sfpga ucf file ucfgen compatible.

parent 137864fe
......@@ -403,78 +403,81 @@ NET "fmc1_tck_o" LOC = AJ30;
NET "fmc1_tdi_i" LOC = AG30;
NET "fmc1_tdo_o" LOC = AF30;
NET "fmc1_tms_o" LOC = AE25;
NET "fmc1_clk1m2c_p_i" LOC = E16;
NET "fmc1_clk1m2c_n_i" LOC = D16;
NET "fmc1_clk0m2c_p_i" LOC = H15;
NET "fmc1_clk0m2c_n_I" LOC = G15;
NET "fmc1_la_p_b[33]" LOC = J12;
NET "fmc1_la_p_b[32]" LOC = H11;
NET "fmc1_la_p_b[31]" LOC = L11;
NET "fmc1_la_p_b[30]" LOC = J13;
NET "fmc1_la_p_b[29]" LOC = F9;
NET "fmc1_la_p_b[28]" LOC = L12;
NET "fmc1_la_p_b[27]" LOC = M13;
NET "fmc1_la_p_b[26]" LOC = L14;
NET "fmc1_la_p_b[25]" LOC = F11;
NET "fmc1_la_p_b[24]" LOC = G10;
NET "fmc1_la_p_b[23]" LOC = M15;
NET "fmc1_la_p_b[22]" LOC = F13;
NET "fmc1_la_p_b[21]" LOC = G12;
NET "fmc1_la_p_b[20]" LOC = F15;
NET "fmc1_la_p_b[19]" LOC = G14;
NET "fmc1_la_p_b[18]" LOC = J14;
NET "fmc1_la_p_b[17]" LOC = B15;
NET "fmc1_la_p_b[16]" LOC = F19;
NET "fmc1_la_p_b[15]" LOC = H16;
NET "fmc1_la_p_b[14]" LOC = F17;
NET "fmc1_la_p_b[13]" LOC = G18;
NET "fmc1_la_p_b[12]" LOC = F21;
NET "fmc1_la_p_b[11]" LOC = G20;
NET "fmc1_la_p_b[10]" LOC = L21;
NET "fmc1_la_p_b[9]" LOC = M20;
NET "fmc1_la_p_b[8]" LOC = F23;
NET "fmc1_la_p_b[7]" LOC = G22;
NET "fmc1_la_p_b[6]" LOC = B25;
NET "fmc1_la_p_b[5]" LOC = M19;
NET "fmc1_la_p_b[4]" LOC = D24;
NET "fmc1_la_p_b[3]" LOC = E25;
NET "fmc1_la_p_b[2]" LOC = J22;
NET "fmc1_la_p_b[1]" LOC = H21;
NET "fmc1_la_p_b[0]" LOC = C16;
NET "fmc1_la_n_b[33]" LOC = H12;
NET "fmc1_la_n_b[32]" LOC = G11;
NET "fmc1_la_n_b[31]" LOC = K11;
NET "fmc1_la_n_b[30]" LOC = H13;
NET "fmc1_la_n_b[29]" LOC = E9;
NET "fmc1_la_n_b[28]" LOC = K12;
NET "fmc1_la_n_b[27]" LOC = L13;
NET "fmc1_la_n_b[26]" LOC = K14;
NET "fmc1_la_n_b[25]" LOC = E11;
NET "fmc1_la_n_b[24]" LOC = F10;
NET "fmc1_la_n_b[23]" LOC = K15;
NET "fmc1_la_n_b[22]" LOC = E13;
NET "fmc1_la_n_b[21]" LOC = F12;
NET "fmc1_la_n_b[20]" LOC = E15;
NET "fmc1_la_n_b[19]" LOC = F14;
NET "fmc1_la_n_b[18]" LOC = H14;
NET "fmc1_la_n_b[17]" LOC = A15;
NET "fmc1_la_n_b[16]" LOC = E19;
NET "fmc1_la_n_b[15]" LOC = G16;
NET "fmc1_la_n_b[14]" LOC = E17;
NET "fmc1_la_n_b[13]" LOC = F18;
NET "fmc1_la_n_b[12]" LOC = E21;
NET "fmc1_la_n_b[11]" LOC = F20;
NET "fmc1_la_n_b[10]" LOC = K21;
NET "fmc1_la_n_b[9]" LOC = L20;
NET "fmc1_la_n_b[8]" LOC = E23;
NET "fmc1_la_n_b[7]" LOC = F22;
NET "fmc1_la_n_b[6]" LOC = A25;
NET "fmc1_la_n_b[5]" LOC = L19;
NET "fmc1_la_n_b[4]" LOC = C24;
NET "fmc1_la_n_b[3]" LOC = D25;
NET "fmc1_la_n_b[2]" LOC = H22;
NET "fmc1_la_n_b[1]" LOC = G21;
NET "fmc1_la_n_b[0]" LOC = A16;
#########################################
# clk0m2c, clk1m2c and la0-la33 can be assigned automatically with ucfgen
#NET "fmc1_clk1m2c_p_i" LOC = E16;
#NET "fmc1_clk1m2c_n_i" LOC = D16;
#NET "fmc1_clk0m2c_p_i" LOC = H15;
#NET "fmc1_clk0m2c_n_I" LOC = G15;
#NET "fmc1_la_p_b[33]" LOC = J12;
#NET "fmc1_la_p_b[32]" LOC = H11;
#NET "fmc1_la_p_b[31]" LOC = L11;
#NET "fmc1_la_p_b[30]" LOC = J13;
#NET "fmc1_la_p_b[29]" LOC = F9;
#NET "fmc1_la_p_b[28]" LOC = L12;
#NET "fmc1_la_p_b[27]" LOC = M13;
#NET "fmc1_la_p_b[26]" LOC = L14;
#NET "fmc1_la_p_b[25]" LOC = F11;
#NET "fmc1_la_p_b[24]" LOC = G10;
#NET "fmc1_la_p_b[23]" LOC = M15;
#NET "fmc1_la_p_b[22]" LOC = F13;
#NET "fmc1_la_p_b[21]" LOC = G12;
#NET "fmc1_la_p_b[20]" LOC = F15;
#NET "fmc1_la_p_b[19]" LOC = G14;
#NET "fmc1_la_p_b[18]" LOC = J14;
#NET "fmc1_la_p_b[17]" LOC = B15;
#NET "fmc1_la_p_b[16]" LOC = F19;
#NET "fmc1_la_p_b[15]" LOC = H16;
#NET "fmc1_la_p_b[14]" LOC = F17;
#NET "fmc1_la_p_b[13]" LOC = G18;
#NET "fmc1_la_p_b[12]" LOC = F21;
#NET "fmc1_la_p_b[11]" LOC = G20;
#NET "fmc1_la_p_b[10]" LOC = L21;
#NET "fmc1_la_p_b[9]" LOC = M20;
#NET "fmc1_la_p_b[8]" LOC = F23;
#NET "fmc1_la_p_b[7]" LOC = G22;
#NET "fmc1_la_p_b[6]" LOC = B25;
#NET "fmc1_la_p_b[5]" LOC = M19;
#NET "fmc1_la_p_b[4]" LOC = D24;
#NET "fmc1_la_p_b[3]" LOC = E25;
#NET "fmc1_la_p_b[2]" LOC = J22;
#NET "fmc1_la_p_b[1]" LOC = H21;
#NET "fmc1_la_p_b[0]" LOC = C16;
#NET "fmc1_la_n_b[33]" LOC = H12;
#NET "fmc1_la_n_b[32]" LOC = G11;
#NET "fmc1_la_n_b[31]" LOC = K11;
#NET "fmc1_la_n_b[30]" LOC = H13;
#NET "fmc1_la_n_b[29]" LOC = E9;
#NET "fmc1_la_n_b[28]" LOC = K12;
#NET "fmc1_la_n_b[27]" LOC = L13;
#NET "fmc1_la_n_b[26]" LOC = K14;
#NET "fmc1_la_n_b[25]" LOC = E11;
#NET "fmc1_la_n_b[24]" LOC = F10;
#NET "fmc1_la_n_b[23]" LOC = K15;
#NET "fmc1_la_n_b[22]" LOC = E13;
#NET "fmc1_la_n_b[21]" LOC = F12;
#NET "fmc1_la_n_b[20]" LOC = E15;
#NET "fmc1_la_n_b[19]" LOC = F14;
#NET "fmc1_la_n_b[18]" LOC = H14;
#NET "fmc1_la_n_b[17]" LOC = A15;
#NET "fmc1_la_n_b[16]" LOC = E19;
#NET "fmc1_la_n_b[15]" LOC = G16;
#NET "fmc1_la_n_b[14]" LOC = E17;
#NET "fmc1_la_n_b[13]" LOC = F18;
#NET "fmc1_la_n_b[12]" LOC = E21;
#NET "fmc1_la_n_b[11]" LOC = F20;
#NET "fmc1_la_n_b[10]" LOC = K21;
#NET "fmc1_la_n_b[9]" LOC = L20;
#NET "fmc1_la_n_b[8]" LOC = E23;
#NET "fmc1_la_n_b[7]" LOC = F22;
#NET "fmc1_la_n_b[6]" LOC = A25;
#NET "fmc1_la_n_b[5]" LOC = L19;
#NET "fmc1_la_n_b[4]" LOC = C24;
#NET "fmc1_la_n_b[3]" LOC = D25;
#NET "fmc1_la_n_b[2]" LOC = H22;
#NET "fmc1_la_n_b[1]" LOC = G21;
#NET "fmc1_la_n_b[0]" LOC = A16;
#----------------------------------------
# FMC slot 2
......@@ -494,78 +497,81 @@ NET "fmc2_tck_o" LOC = AH30;
NET "fmc2_tdi_i" LOC = AK29;
NET "fmc2_tdo_o" LOC = AG29;
NET "fmc2_tms_o" LOC = AJ29;
NET "fmc2_clk1m2c_p_i" LOC = AH16;
NET "fmc2_clk1m2c_n_i" LOC = AK16;
NET "fmc2_clk0m2c_p_i" LOC = AF16;
NET "fmc2_clk0m2c_n_i" LOC = AG16;
NET "fmc2_la_p_b[33]" LOC = AA19;
NET "fmc2_la_p_b[32]" LOC = W19;
NET "fmc2_la_p_b[31]" LOC = Y21;
NET "fmc2_la_p_b[30]" LOC = W20;
NET "fmc2_la_p_b[29]" LOC = AC24;
NET "fmc2_la_p_b[28]" LOC = AA22;
NET "fmc2_la_p_b[27]" LOC = AB20;
NET "fmc2_la_p_b[26]" LOC = AC19;
NET "fmc2_la_p_b[25]" LOC = AB17;
NET "fmc2_la_p_b[24]" LOC = AB21;
NET "fmc2_la_p_b[23]" LOC = AF25;
NET "fmc2_la_p_b[22]" LOC = AE24;
NET "fmc2_la_p_b[21]" LOC = AD22;
NET "fmc2_la_p_b[20]" LOC = AE19;
NET "fmc2_la_p_b[19]" LOC = AE23;
NET "fmc2_la_p_b[18]" LOC = AE21;
NET "fmc2_la_p_b[17]" LOC = AC16;
NET "fmc2_la_p_b[16]" LOC = AB14;
NET "fmc2_la_p_b[15]" LOC = Y17;
NET "fmc2_la_p_b[14]" LOC = Y15;
NET "fmc2_la_p_b[13]" LOC = AC15;
NET "fmc2_la_p_b[12]" LOC = AE15;
NET "fmc2_la_p_b[11]" LOC = Y16;
NET "fmc2_la_p_b[10]" LOC = Y14;
NET "fmc2_la_p_b[9]" LOC = W14;
NET "fmc2_la_p_b[8]" LOC = AB12;
NET "fmc2_la_p_b[7]" LOC = AD12;
NET "fmc2_la_p_b[6]" LOC = AD10;
NET "fmc2_la_p_b[5]" LOC = AE11;
NET "fmc2_la_p_b[4]" LOC = AJ15;
NET "fmc2_la_p_b[3]" LOC = AE13;
NET "fmc2_la_p_b[2]" LOC = AC11;
NET "fmc2_la_p_b[1]" LOC = AG8;
NET "fmc2_la_p_b[0]" LOC = AJ17;
NET "fmc2_la_n_b[33]" LOC = AB19;
NET "fmc2_la_n_b[32]" LOC = Y19;
NET "fmc2_la_n_b[31]" LOC = AA21;
NET "fmc2_la_n_b[30]" LOC = Y20;
NET "fmc2_la_n_b[29]" LOC = AD24;
NET "fmc2_la_n_b[28]" LOC = AC22;
NET "fmc2_la_n_b[27]" LOC = AC20;
NET "fmc2_la_n_b[26]" LOC = AD19;
NET "fmc2_la_n_b[25]" LOC = AD17;
NET "fmc2_la_n_b[24]" LOC = AC21;
NET "fmc2_la_n_b[23]" LOC = AG25;
NET "fmc2_la_n_b[22]" LOC = AF24;
NET "fmc2_la_n_b[21]" LOC = AE22;
NET "fmc2_la_n_b[20]" LOC = AF19;
NET "fmc2_la_n_b[19]" LOC = AF23;
NET "fmc2_la_n_b[18]" LOC = AF21;
NET "fmc2_la_n_b[17]" LOC = AD16;
NET "fmc2_la_n_b[16]" LOC = AC14;
NET "fmc2_la_n_b[15]" LOC = AA17;
NET "fmc2_la_n_b[14]" LOC = AA15;
NET "fmc2_la_n_b[13]" LOC = AD15;
NET "fmc2_la_n_b[12]" LOC = AF15;
NET "fmc2_la_n_b[11]" LOC = AB16;
NET "fmc2_la_n_b[10]" LOC = AA14;
NET "fmc2_la_n_b[9]" LOC = Y13;
NET "fmc2_la_n_b[8]" LOC = AC12;
NET "fmc2_la_n_b[7]" LOC = AE12;
NET "fmc2_la_n_b[6]" LOC = AE10;
NET "fmc2_la_n_b[5]" LOC = AF11;
NET "fmc2_la_n_b[4]" LOC = AK15;
NET "fmc2_la_n_b[3]" LOC = AF13;
NET "fmc2_la_n_b[2]" LOC = AD11;
NET "fmc2_la_n_b[1]" LOC = AH8;
NET "fmc2_la_n_b[0]" LOC = AK17;
#########################################
# clk0m2c, clk1m2c and la0-la33 can be assigned automatically with ucfgen
#NET "fmc2_clk1m2c_p_i" LOC = AH16;
#NET "fmc2_clk1m2c_n_i" LOC = AK16;
#NET "fmc2_clk0m2c_p_i" LOC = AF16;
#NET "fmc2_clk0m2c_n_i" LOC = AG16;
#NET "fmc2_la_p_b[33]" LOC = AA19;
#NET "fmc2_la_p_b[32]" LOC = W19;
#NET "fmc2_la_p_b[31]" LOC = Y21;
#NET "fmc2_la_p_b[30]" LOC = W20;
#NET "fmc2_la_p_b[29]" LOC = AC24;
#NET "fmc2_la_p_b[28]" LOC = AA22;
#NET "fmc2_la_p_b[27]" LOC = AB20;
#NET "fmc2_la_p_b[26]" LOC = AC19;
#NET "fmc2_la_p_b[25]" LOC = AB17;
#NET "fmc2_la_p_b[24]" LOC = AB21;
#NET "fmc2_la_p_b[23]" LOC = AF25;
#NET "fmc2_la_p_b[22]" LOC = AE24;
#NET "fmc2_la_p_b[21]" LOC = AD22;
#NET "fmc2_la_p_b[20]" LOC = AE19;
#NET "fmc2_la_p_b[19]" LOC = AE23;
#NET "fmc2_la_p_b[18]" LOC = AE21;
#NET "fmc2_la_p_b[17]" LOC = AC16;
#NET "fmc2_la_p_b[16]" LOC = AB14;
#NET "fmc2_la_p_b[15]" LOC = Y17;
#NET "fmc2_la_p_b[14]" LOC = Y15;
#NET "fmc2_la_p_b[13]" LOC = AC15;
#NET "fmc2_la_p_b[12]" LOC = AE15;
#NET "fmc2_la_p_b[11]" LOC = Y16;
#NET "fmc2_la_p_b[10]" LOC = Y14;
#NET "fmc2_la_p_b[9]" LOC = W14;
#NET "fmc2_la_p_b[8]" LOC = AB12;
#NET "fmc2_la_p_b[7]" LOC = AD12;
#NET "fmc2_la_p_b[6]" LOC = AD10;
#NET "fmc2_la_p_b[5]" LOC = AE11;
#NET "fmc2_la_p_b[4]" LOC = AJ15;
#NET "fmc2_la_p_b[3]" LOC = AE13;
#NET "fmc2_la_p_b[2]" LOC = AC11;
#NET "fmc2_la_p_b[1]" LOC = AG8;
#NET "fmc2_la_p_b[0]" LOC = AJ17;
#NET "fmc2_la_n_b[33]" LOC = AB19;
#NET "fmc2_la_n_b[32]" LOC = Y19;
#NET "fmc2_la_n_b[31]" LOC = AA21;
#NET "fmc2_la_n_b[30]" LOC = Y20;
#NET "fmc2_la_n_b[29]" LOC = AD24;
#NET "fmc2_la_n_b[28]" LOC = AC22;
#NET "fmc2_la_n_b[27]" LOC = AC20;
#NET "fmc2_la_n_b[26]" LOC = AD19;
#NET "fmc2_la_n_b[25]" LOC = AD17;
#NET "fmc2_la_n_b[24]" LOC = AC21;
#NET "fmc2_la_n_b[23]" LOC = AG25;
#NET "fmc2_la_n_b[22]" LOC = AF24;
#NET "fmc2_la_n_b[21]" LOC = AE22;
#NET "fmc2_la_n_b[20]" LOC = AF19;
#NET "fmc2_la_n_b[19]" LOC = AF23;
#NET "fmc2_la_n_b[18]" LOC = AF21;
#NET "fmc2_la_n_b[17]" LOC = AD16;
#NET "fmc2_la_n_b[16]" LOC = AC14;
#NET "fmc2_la_n_b[15]" LOC = AA17;
#NET "fmc2_la_n_b[14]" LOC = AA15;
#NET "fmc2_la_n_b[13]" LOC = AD15;
#NET "fmc2_la_n_b[12]" LOC = AF15;
#NET "fmc2_la_n_b[11]" LOC = AB16;
#NET "fmc2_la_n_b[10]" LOC = AA14;
#NET "fmc2_la_n_b[9]" LOC = Y13;
#NET "fmc2_la_n_b[8]" LOC = AC12;
#NET "fmc2_la_n_b[7]" LOC = AE12;
#NET "fmc2_la_n_b[6]" LOC = AE10;
#NET "fmc2_la_n_b[5]" LOC = AF11;
#NET "fmc2_la_n_b[4]" LOC = AK15;
#NET "fmc2_la_n_b[3]" LOC = AF13;
#NET "fmc2_la_n_b[2]" LOC = AD11;
#NET "fmc2_la_n_b[1]" LOC = AH8;
#NET "fmc2_la_n_b[0]" LOC = AK17;
#----------------------------------------
# I2C EEPROM
......@@ -1001,78 +1007,81 @@ NET "fmc1_tck_o" IOSTANDARD = "LVCMOS25";
NET "fmc1_tdi_i" IOSTANDARD = "LVCMOS25";
NET "fmc1_tdo_o" IOSTANDARD = "LVCMOS25";
NET "fmc1_tms_o" IOSTANDARD = "LVCMOS25";
NET "fmc1_clk1m2c_p_i" IOSTANDARD = "LVCMOS25";
NET "fmc1_clk1m2c_n_i" IOSTANDARD = "LVCMOS25";
NET "fmc1_clk0m2c_p_i" IOSTANDARD = "LVCMOS25";
NET "fmc1_clk0m2c_n_I" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[33]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[32]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[31]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[30]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[29]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[28]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[27]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[26]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[25]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[24]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[23]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[22]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[21]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[20]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[19]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[18]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[17]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[16]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[15]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[14]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[13]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[12]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[11]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[10]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[9]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[8]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[7]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[6]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[5]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[4]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[3]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[2]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[1]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_p_b[0]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[33]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[32]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[31]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[30]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[29]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[28]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[27]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[26]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[25]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[24]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[23]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[22]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[21]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[20]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[19]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[18]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[17]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[16]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[15]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[14]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[13]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[12]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[11]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[10]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[9]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[8]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[7]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[6]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[5]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[4]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[3]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[2]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[1]" IOSTANDARD = "LVCMOS25";
NET "fmc1_la_n_b[0]" IOSTANDARD = "LVCMOS25";
#########################################
# clk0m2c, clk1m2c and la0-la33 can be assigned automatically with ucfgen
#NET "fmc1_clk1m2c_p_i" IOSTANDARD = "LVCMOS25";
#NET "fmc1_clk1m2c_n_i" IOSTANDARD = "LVCMOS25";
#NET "fmc1_clk0m2c_p_i" IOSTANDARD = "LVCMOS25";
#NET "fmc1_clk0m2c_n_I" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[33]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[32]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[31]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[30]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[29]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[28]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[27]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[26]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[25]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[24]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[23]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[22]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[21]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[20]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[19]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[18]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[17]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[16]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[15]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[14]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[13]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[12]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[11]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[10]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[9]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[8]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[7]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[6]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[5]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[4]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[3]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[2]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[1]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_p_b[0]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[33]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[32]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[31]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[30]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[29]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[28]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[27]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[26]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[25]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[24]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[23]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[22]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[21]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[20]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[19]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[18]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[17]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[16]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[15]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[14]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[13]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[12]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[11]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[10]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[9]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[8]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[7]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[6]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[5]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[4]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[3]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[2]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[1]" IOSTANDARD = "LVCMOS25";
#NET "fmc1_la_n_b[0]" IOSTANDARD = "LVCMOS25";
#----------------------------------------
# FMC slot 2
......@@ -1085,78 +1094,81 @@ NET "fmc2_tck_o" IOSTANDARD = "LVCMOS25";
NET "fmc2_tdi_i" IOSTANDARD = "LVCMOS25";
NET "fmc2_tdo_o" IOSTANDARD = "LVCMOS25";
NET "fmc2_tms_o" IOSTANDARD = "LVCMOS25";
NET "fmc2_clk1m2c_p_i" IOSTANDARD = "LVCMOS25";
NET "fmc2_clk1m2c_n_i" IOSTANDARD = "LVCMOS25";
NET "fmc2_clk0m2c_p_i" IOSTANDARD = "LVCMOS25";
NET "fmc2_clk0m2c_n_i" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[33]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[32]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[31]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[30]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[29]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[28]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[27]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[26]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[25]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[24]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[23]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[22]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[21]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[20]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[19]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[18]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[17]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[16]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[15]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[14]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[13]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[12]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[11]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[10]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[9]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[8]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[7]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[6]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[5]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[4]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[3]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[2]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[1]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_p_b[0]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[33]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[32]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[31]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[30]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[29]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[28]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[27]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[26]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[25]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[24]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[23]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[22]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[21]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[20]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[19]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[18]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[17]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[16]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[15]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[14]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[13]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[12]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[11]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[10]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[9]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[8]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[7]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[6]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[5]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[4]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[3]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[2]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[1]" IOSTANDARD = "LVCMOS25";
NET "fmc2_la_n_b[0]" IOSTANDARD = "LVCMOS25";
#########################################
# clk0m2c, clk1m2c and la0-la33 can be assigned automatically with ucfgen
#NET "fmc2_clk1m2c_p_i" IOSTANDARD = "LVCMOS25";
#NET "fmc2_clk1m2c_n_i" IOSTANDARD = "LVCMOS25";
#NET "fmc2_clk0m2c_p_i" IOSTANDARD = "LVCMOS25";
#NET "fmc2_clk0m2c_n_i" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[33]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[32]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[31]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[30]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[29]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[28]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[27]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[26]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[25]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[24]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[23]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[22]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[21]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[20]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[19]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[18]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[17]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[16]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[15]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[14]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[13]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[12]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[11]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[10]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[9]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[8]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[7]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[6]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[5]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[4]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[3]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[2]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[1]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_p_b[0]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[33]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[32]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[31]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[30]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[29]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[28]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[27]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[26]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[25]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[24]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[23]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[22]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[21]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[20]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[19]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[18]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[17]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[16]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[15]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[14]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[13]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[12]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[11]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[10]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[9]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[8]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[7]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[6]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[5]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[4]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[3]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[2]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[1]" IOSTANDARD = "LVCMOS25";
#NET "fmc2_la_n_b[0]" IOSTANDARD = "LVCMOS25";
#----------------------------------------
# I2C EEPROM
......@@ -1222,4 +1234,9 @@ NET "dbg_led_n_o[1]" IOSTANDARD = "LVCMOS33";
#NET "prog_tms" IOSTANDARD = "LVCMOS33";
#NET "prog_tdi" IOSTANDARD = "LVCMOS33";
#NET "prog_tck" IOSTANDARD = "LVCMOS33";
#NET "prog_tdo" IOSTANDARD = "LVCMOS33";
\ No newline at end of file
#NET "prog_tdo" IOSTANDARD = "LVCMOS33";
# <ucfgen_start>
# <ucfgen_end>
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment