Commit 9c9bffc9 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

gn4124_core: tie potentially unused inputs to 0

parent 199e230f
......@@ -124,9 +124,9 @@ entity gn4124_core is
csr_dat_i : in std_logic_vector(31 downto 0);
csr_ack_i : in std_logic;
csr_stall_i : in std_logic;
csr_err_i : in std_logic;
csr_rty_i : in std_logic; -- not used internally
csr_int_i : in std_logic; -- not used internally
csr_err_i : in std_logic := '0';
csr_rty_i : in std_logic := '0'; -- not used internally
csr_int_i : in std_logic := '0'; -- not used internally
---------------------------------------------------------
-- DMA wishbone interface (master pipelined)
......@@ -140,9 +140,9 @@ entity gn4124_core is
dma_dat_i : in std_logic_vector(31 downto 0);
dma_ack_i : in std_logic;
dma_stall_i : in std_logic;
dma_err_i : in std_logic; -- not used internally
dma_rty_i : in std_logic; -- not used internally
dma_int_i : in std_logic -- not used internally
dma_err_i : in std_logic := '0'; -- not used internally
dma_rty_i : in std_logic := '0'; -- not used internally
dma_int_i : in std_logic := '0' -- not used internally
);
end gn4124_core;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment