Skip to content

Fix reset CDC issue in gc_sync_word_rd

Adrian Byszuk requested to merge fix_issue_30 into proposed_master

The clk_out domain mistakenly used reset from clk_in domain. Additionally, the data_out_o port had this reset signal connected mistakenly as clock enable.

This fixes #30 (closed)

Merge request reports