Commit 92086e28 authored by egousiou's avatar egousiou

added calibration EEPROM information on the FMC_TDC_gateware_guide

git-svn-id: http://svn.ohwr.org/fmc-tdc@157 85dfdc96-de2c-444c-878d-45b388be74a9
parent 6f2d71b6
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment