Commit d56f09c3 authored by Dimitris Lampridis's avatar Dimitris Lampridis

fix direct timestamp mapping after latest changes

parent b69e7d0c
......@@ -77,10 +77,10 @@ begin
ts_channel <= direct_timestamp_i(98 downto 96);
ts_edge <= direct_timestamp_i(100);
ts_seconds <= direct_timestamp_i(95 downto 64);
ts_edge <= direct_timestamp_i(99);
ts_seconds <= direct_timestamp_i(31 downto 0);
ts_cycles <= direct_timestamp_i(63 downto 32);
ts_bins <= direct_timestamp_i(17 downto 0);
ts_bins <= direct_timestamp_i(81 downto 64);
U_WB_Slave : fmc_tdc_direct_readout_wb_slave
port map (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment