Commit d56f09c3 authored by Dimitris Lampridis's avatar Dimitris Lampridis

fix direct timestamp mapping after latest changes

parent b69e7d0c
......@@ -77,10 +77,10 @@ begin
ts_channel <= direct_timestamp_i(98 downto 96);
ts_edge <= direct_timestamp_i(100);
ts_seconds <= direct_timestamp_i(95 downto 64);
ts_edge <= direct_timestamp_i(99);
ts_seconds <= direct_timestamp_i(31 downto 0);
ts_cycles <= direct_timestamp_i(63 downto 32);
ts_bins <= direct_timestamp_i(17 downto 0);
ts_bins <= direct_timestamp_i(81 downto 64);
U_WB_Slave : fmc_tdc_direct_readout_wb_slave
port map (
......
......@@ -197,14 +197,14 @@ architecture rtl of timestamp_convert_filter is
if rst_sys_n_i = '0' then
direct_timestamp_valid_o <= '0';
else
direct_timestamp_o(31 downto 0) <= s3_ts.tai;
direct_timestamp_o(63 downto 32) <= s3_ts.coarse;
direct_timestamp_o(95 downto 64) <= x"00000" & s3_ts.frac;
direct_timestamp_o(31 downto 0) <= s3_ts.tai;
direct_timestamp_o(63 downto 32) <= s3_ts.coarse;
direct_timestamp_o(95 downto 64) <= x"00000" & s3_ts.frac;
direct_timestamp_o(96 + 2 downto 96) <= s3_ts.channel;
direct_timestamp_o(96 + 3) <= s3_ts.slope;
direct_timestamp_o(127 downto 100) <= (others => '0');
direct_timestamp_valid_o <= s3_valid;
end if;
direct_timestamp_o(96 + 3) <= s3_ts.slope;
direct_timestamp_o(127 downto 100) <= (others => '0');
direct_timestamp_valid_o <= s3_valid;
end if;
end if;
end process;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment