Commit 32fba6fc authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

removed unnecessary signal

parent 1b4e6b54
......@@ -241,7 +241,7 @@ architecture rtl of fmc_tdc_wrapper is
-- Signals --
---------------------------------------------------------------------------------------------------
-- WRabbit clocks
signal clk_62m5_sys, clk_125m_mezz : std_logic;
signal clk_125m_mezz : std_logic;
signal rst_125m_mezz_n, rst_125m_mezz : std_logic;
signal acam_refclk_r_edge_p : std_logic;
-- DAC configuration through PCIe/VME
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment