• David Cussans's avatar
    Version of TLU firmware that seems to vaguely function: · 336ffeec
    David Cussans authored
    - changed IPBus read from FIFO in eventBuffer_rtl.vhd. Probably uncessary, but not going back now. In process changed FIFO to standard rather than fall-through and decreased size ( to try to help with timing closure )
    
    - Put SHREG attribute in logic_clocks_rtl.vhd. Should also add to other places.
    
    - Added pulse stretch to stretchPulse_rtl.vhd ( used to be just delay )
    
    - Randomly hacked event formatter until it records which trigger fired.
    
    - trigger logic hacked to provide only a single clock cycle trigger ( rather than staying high for however long the trigger combination was active.
    
    - Trying to reduce timing errors by specifying which nets don't need timing closure ( using TIG ) in sp605_FMC_mTLU_v1a.ucf
    
    - Uncommented re-generate IP in build_bitstream.tcl 
    
    336ffeec
Name
Last commit
Last update
..
SP601_RevC_annotated_master_ucf_8-28-09.ucf Loading commit data...
SP601_fmc_connections.ucf Loading commit data...
SP601_fmc_connections.xlsx Loading commit data...
SP601_fmc_connections_v2.ucf Loading commit data...
fmcTLU_fmc_connections.txt Loading commit data...
fmc_pins.ucf Loading commit data...
generate_fmcTLU_ucf.pl Loading commit data...
sp601_FMC_mTLU.ucf Loading commit data...
sp601_FMC_mTLU_v1a.ucf Loading commit data...
sp605_FMC_mTLU.ucf Loading commit data...
sp605_FMC_mTLU_v1a.ucf Loading commit data...
sp605_FMC_mTLU_v1a_pintest.ucf Loading commit data...
sp605_FMC_mTLU_v1a_tpixJ2.ucf Loading commit data...