Commit e7c0e506 authored by Federico Vaga's avatar Federico Vaga

re-licence

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent bad11788
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
[submodule "hdl/ip_cores/general-cores"] [submodule "hdl/ip_cores/general-cores"]
path = hdl/ip_cores/general-cores path = hdl/ip_cores/general-cores
url = https://ohwr.org/project/general-cores.git url = https://ohwr.org/project/general-cores.git
......
Format: https://www.debian.org/doc/packaging-manuals/copyright-format/1.0/
Upstream-Name: fmc-adc-100m14b4ch
Upstream-Contact: Federico Vaga <federico.vaga@cern.ch>
Source: https://ohwr.org/project/fmc-delay-1ns-8cha
Files: doc/*
Copyright: 2022 CERN (home.cern)
License: CC-BY-SA-4.0+
Files: hardware/*
Copyright: 2022 CERN (home.cern)
License: CERN-OHL-W-2.0+
.. SPDX-License-Identifier: CC-BY-SA-4.0+
.. ..
SPDX-License-Identifier: CC-0.0 .. SPDX-FileCopyrightText: 2019 CERN
SPDX-FileCopyrightText: 2019 CERN
========= =========
Changelog Changelog
......
This diff is collapsed.
This diff is collapsed.
GNU GENERAL PUBLIC LICENSE GNU GENERAL PUBLIC LICENSE
Version 2, June 1991 Version 2, June 1991
Copyright (C) 1989, 1991 Free Software Foundation, Inc., Copyright (C) 1989, 1991 Free Software Foundation, Inc., <http://fsf.org/>
51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
Everyone is permitted to copy and distribute verbatim copies Everyone is permitted to copy and distribute verbatim copies
of this license document, but changing it is not allowed. of this license document, but changing it is not allowed.
...@@ -290,8 +290,8 @@ to attach them to the start of each source file to most effectively ...@@ -290,8 +290,8 @@ to attach them to the start of each source file to most effectively
convey the exclusion of warranty; and each file should have at least convey the exclusion of warranty; and each file should have at least
the "copyright" line and a pointer to where the full notice is found. the "copyright" line and a pointer to where the full notice is found.
<one line to give the program's name and a brief idea of what it does.> spec-fmc-carrier
Copyright (C) <year> <name of author> Copyright (C) 2019 CERN (https://home.cern)
This program is free software; you can redistribute it and/or modify This program is free software; you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by it under the terms of the GNU General Public License as published by
...@@ -329,7 +329,7 @@ necessary. Here is a sample; alter the names: ...@@ -329,7 +329,7 @@ necessary. Here is a sample; alter the names:
Yoyodyne, Inc., hereby disclaims all copyright interest in the program Yoyodyne, Inc., hereby disclaims all copyright interest in the program
`Gnomovision' (which makes passes at compilers) written by James Hacker. `Gnomovision' (which makes passes at compilers) written by James Hacker.
<signature of Ty Coon>, 1 April 1989 {signature of Ty Coon}, 1 April 1989
Ty Coon, President of Vice Ty Coon, President of Vice
This General Public License does not permit incorporating your program into This General Public License does not permit incorporating your program into
......
This diff is collapsed.
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
modules = { modules = {
"local" : [ "local" : [
"hdl/rtl", "hdl/rtl",
......
<!--
SPDX-FileCopyrightText: 2022 CERN (home.cern)
SPDX-License-Identifier: CC-BY-SA-4.0+
-->
This repo contains the full source code (hardware + gateware + software) for the FmcDelay1ns4cha FMC module. This repo contains the full source code (hardware + gateware + software) for the FmcDelay1ns4cha FMC module.
\ No newline at end of file
# SPDX-License-Identifier: CC0-1.0 # SPDX-License-Identifier: CC-BY-SA-4.0+
# #
# SPDX-FileCopyrightText: 2020 CERN # SPDX-FileCopyrightText: 2020 CERN
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
*.*\# *.*\#
\#* \#*
.\#* .\#*
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
modules = {"local" : ["platform", "rtl"] } modules = {"local" : ["platform", "rtl"] }
\ No newline at end of file
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 1ps/1ps `timescale 1ps/1ps
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`include "timestamp.svh" `include "timestamp.svh"
module ideal_timestamper module ideal_timestamper
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 10fs/10fs `timescale 10fs/10fs
module jittery_delay module jittery_delay
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 1ps/1ps `timescale 1ps/1ps
module mc100ep195 module mc100ep195
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
module random_pulse_gen module random_pulse_gen
( (
input enable_i, input enable_i,
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`define ADDR_FD_DCR 6'h0 `define ADDR_FD_DCR 6'h0
`define FD_DCR_ENABLE_OFFSET 0 `define FD_DCR_ENABLE_OFFSET 0
`define FD_DCR_ENABLE 32'h00000001 `define FD_DCR_ENABLE 32'h00000001
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`define ADDR_FD_RSTR 8'h0 `define ADDR_FD_RSTR 8'h0
`define FD_RSTR_RST_FMC_OFFSET 0 `define FD_RSTR_RST_FMC_OFFSET 0
`define FD_RSTR_RST_FMC 32'h00000001 `define FD_RSTR_RST_FMC 32'h00000001
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`ifndef __TIMESTAMP_SVH `ifndef __TIMESTAMP_SVH
`define __TIMESTAMP_SVH `define __TIMESTAMP_SVH
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 10fs/10fs `timescale 10fs/10fs
module tunable_clock_gen module tunable_clock_gen
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 1ns/1ns `timescale 1ns/1ns
module sn74vmeh22501 ( module sn74vmeh22501 (
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`include "components/sn74vmeh22501.v" `include "components/sn74vmeh22501.v"
`include "vme64x_bfm.svh" `include "vme64x_bfm.svh"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`ifndef __VME64X_BFM_SVH `ifndef __VME64X_BFM_SVH
`define __VME64X_BFM_SVH 1 `define __VME64X_BFM_SVH 1
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
files =["chipscope_icon.ngc", "chipscope_ila.ngc", "fd_ddr_driver.vhd", "fd_ddr_pll.vhd" ] files =["chipscope_icon.ngc", "chipscope_ila.ngc", "fd_ddr_driver.vhd", "fd_ddr_pll.vhd" ]
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Xilinx DDR driver -- Title : Xilinx DDR driver
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-- file: clk_wiz_v3_2.vhd -- file: clk_wiz_v3_2.vhd
-- --
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
files = ["fd_acam_timestamper.vhd", files = ["fd_acam_timestamper.vhd",
"fd_ring_buffer.vhd", "fd_ring_buffer.vhd",
"fd_ts_adder.vhd", "fd_ts_adder.vhd",
......
#!/bin/bash #!/bin/bash
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
wbgen2 -V fd_main_wishbone_slave.vhd -H record -p fd_main_wbgen2_pkg.vhd -K ../include/regs/fd_main_regs.vh -s defines -C fd_main_regs.h -f texinfo -D ../../doc/design-notes/fd_main_regs.in fd_main_wishbone_slave.wb wbgen2 -V fd_main_wishbone_slave.vhd -H record -p fd_main_wbgen2_pkg.vhd -K ../include/regs/fd_main_regs.vh -s defines -C fd_main_regs.h -f texinfo -D ../../doc/design-notes/fd_main_regs.in fd_main_wishbone_slave.wb
wbgen2 -V fd_channel_wishbone_slave.vhd -H record -p fd_channel_wbgen2_pkg.vhd -K ../include/regs/fd_channel_regs.vh -s defines -C fd_channel_regs.h -f texinfo -D ../../doc/design-notes/fd_channel_regs.in fd_channel_wishbone_slave.wb wbgen2 -V fd_channel_wishbone_slave.vhd -H record -p fd_channel_wbgen2_pkg.vhd -K ../include/regs/fd_channel_regs.vh -s defines -C fd_channel_regs.h -f texinfo -D ../../doc/design-notes/fd_channel_regs.in fd_channel_wishbone_slave.wb
\ No newline at end of file
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : ACAM TDX-GPX timestamp postprocessor -- Title : ACAM TDX-GPX timestamp postprocessor
-- Project : Fine Delay Core (FmcDelay1ns4cha) -- Project : Fine Delay Core (FmcDelay1ns4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : ACAM TDC-GPX Timestamper -- Title : ACAM TDC-GPX Timestamper
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Fine Delay Channel WB Slave -- Title : Wishbone slave core for Fine Delay Channel WB Slave
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Fine Delay Channel WB Slave -- Title : Wishbone slave core for Fine Delay Channel WB Slave
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
-- -*- Mode: LUA; tab-width: 2 -*- -- -*- Mode: LUA; tab-width: 2 -*-
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Counter Sync signal generator -- Title : Counter Sync signal generator
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Precise Programmable Pulse Generator (single channel) -- Title : Precise Programmable Pulse Generator (single channel)
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : SY89295U 4-input arbitration unit -- Title : SY89295U 4-input arbitration unit
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : DMTD-based insertion delay calibrator -- Title : DMTD-based insertion delay calibrator
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Digital DMTD Edge Tagger -- Title : Digital DMTD Edge Tagger
-- Project : White Rabbit -- Project : White Rabbit
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Fine Delay Main WB Slave -- Title : Wishbone slave core for Fine Delay Main WB Slave
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- Title : Wishbone slave core for Fine Delay Main WB Slave -- Title : Wishbone slave core for Fine Delay Main WB Slave
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
-- -*- Mode: LUA; tab-width: 2 -*- -- -*- Mode: LUA; tab-width: 2 -*-
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : Reset unit. -- Title : Reset unit.
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Timestamp Ring Buffer -- Title : Timestamp Ring Buffer
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : SPI Bus Master with arbitration -- Title : SPI Bus Master with arbitration
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : SPI Bus Master -- Title : SPI Bus Master
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Title : TDC Statistics Unit -- Title : TDC Statistics Unit
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Pipelined timestamp adder with normalization -- Title : Pipelined timestamp adder with normalization
-- Project : Fine Delay Core (FmcDelay1ns4cha) -- Project : Fine Delay Core (FmcDelay1ns4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Fine Delay VHDL Core (top level block) -- Title : Fine Delay VHDL Core (top level block)
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Fine Delay VHDL Core (main package) -- Title : Fine Delay VHDL Core (main package)
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha) -- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : Digital DMTD Edge Tagger -- Title : Digital DMTD Edge Tagger
-- Project : White Rabbit -- Project : White Rabbit
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- Title : DMTD Helper PLL (HPLL) - linear frequency/period detector. -- Title : DMTD Helper PLL (HPLL) - linear frequency/period detector.
-- Project : White Rabbit Switch -- Project : White Rabbit Switch
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
board = "svec" board = "svec"
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
action = "simulation" action = "simulation"
vlog_opt="+incdir+../../include" vlog_opt="+incdir+../../include"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
//#`include "simdrv_defs.svh" //#`include "simdrv_defs.svh"
`timescale 1ns/1ps `timescale 1ns/1ps
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
make make
vsim -L XilinxCoreLib work.main -voptargs="+acc" vsim -L XilinxCoreLib work.main -voptargs="+acc"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
target = "xilinx" target = "xilinx"
action = "simulation" action = "simulation"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 10fs/10fs `timescale 10fs/10fs
`include "acam_model.svh" `include "acam_model.svh"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
#make #make
vsim -L XilinxCoreLib work.main -voptargs="+acc" vsim -L XilinxCoreLib work.main -voptargs="+acc"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
onerror {resume} onerror {resume}
quietly WaveActivateNextPane {} 0 quietly WaveActivateNextPane {} 0
add wave -noupdate /main/DUT/chx_delay_pulse0 add wave -noupdate /main/DUT/chx_delay_pulse0
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
ctrls = ["bank3_32b_32b"] ctrls = ["bank3_32b_32b"]
action = "simulation" action = "simulation"
target = "xilinx" target = "xilinx"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 1ns/1ps `timescale 1ns/1ps
`include "simdrv_defs.svh" `include "simdrv_defs.svh"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
#vlog -sv main.sv +incdir+"." +incdir+gn4124_bfm +incdir+../../include/wb +incdir+../../include #vlog -sv main.sv +incdir+"." +incdir+gn4124_bfm +incdir+../../include/wb +incdir+../../include
#make -f Makefile #make -f Makefile
vsim -L unisim -L secureip work.main -voptargs="+acc" -t 10fs -novopt vsim -L unisim -L secureip work.main -voptargs="+acc" -t 10fs -novopt
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`include "regs/fd_main_regs.vh" `include "regs/fd_main_regs.vh"
`include "regs/fd_channel_regs.vh" `include "regs/fd_channel_regs.vh"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`ifndef __VHD_WISHBONE_MASTER_INCLUDED `ifndef __VHD_WISHBONE_MASTER_INCLUDED
`define __VHD_WISHBONE_MASTER_INCLUDED `define __VHD_WISHBONE_MASTER_INCLUDED
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
onerror {resume} onerror {resume}
quietly WaveActivateNextPane {} 0 quietly WaveActivateNextPane {} 0
add wave -noupdate -group SpecBase /main/DUT/inst_spec_base/clk_125m_pllref_p_i add wave -noupdate -group SpecBase /main/DUT/inst_spec_base/clk_125m_pllref_p_i
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
ctrls = ["bank3_32b_32b"] ctrls = ["bank3_32b_32b"]
action = "simulation" action = "simulation"
target = "xilinx" target = "xilinx"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`timescale 10fs/10fs `timescale 10fs/10fs
`include "acam_model.svh" `include "acam_model.svh"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`include "vme64x_bfm.svh" `include "vme64x_bfm.svh"
`include "svec_vme_buffers.svh" `include "svec_vme_buffers.svh"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
#vlog -sv main.sv +incdir+. +incdir+../../include/wb +incdir+../../include/vme64x_bfm +incdir+../../include #vlog -sv main.sv +incdir+. +incdir+../../include/wb +incdir+../../include/vme64x_bfm +incdir+../../include
vsim -t 1ps work.main -novopt -L unisim vsim -t 1ps work.main -novopt -L unisim
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: CERN-OHL-W-2.0+
`include "regs/fd_main_regs.vh" `include "regs/fd_main_regs.vh"
`include "regs/fd_channel_regs.vh" `include "regs/fd_channel_regs.vh"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
onerror {resume} onerror {resume}
quietly WaveActivateNextPane {} 0 quietly WaveActivateNextPane {} 0
add wave -noupdate -group Top /main/DUT/rst_n_i add wave -noupdate -group Top /main/DUT/rst_n_i
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
files = ["spec_fine_delay_top.vhd", "spec_fine_delay_top.ucf"] files = ["spec_fine_delay_top.vhd", "spec_fine_delay_top.ucf"]
fetchto = "../../ip_cores" fetchto = "../../ip_cores"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
#################################################################################### ####################################################################################
# FineDelay V3/V4 pins # FineDelay V3/V4 pins
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- Fine Delay Mezzanine (fmc-fine-delay) -- Fine Delay Mezzanine (fmc-fine-delay)
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
files = ["svec_fine_delay_top.vhd", "svec_fine_delay_top.ucf"] files = ["svec_fine_delay_top.vhd", "svec_fine_delay_top.ucf"]
fetchto = "../../ip_cores" fetchto = "../../ip_cores"
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: CERN-OHL-W-2.0+
# <ucfgen_start> # <ucfgen_start>
# This section has bee generated automatically by ucfgen.py. Do not hand-modify if not really necessary. # This section has bee generated automatically by ucfgen.py. Do not hand-modify if not really necessary.
......
-- SPDX-FileCopyrightText: 2022 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- Fine Delay Mezzanine (fmc-fine-delay) -- Fine Delay Mezzanine (fmc-fine-delay)
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
*.o *.o
*.ko *.ko
*~ *~
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: LGPL-2.1-or-later
# include parent_common.mk for buildsystem's defines # include parent_common.mk for buildsystem's defines
# use absolute path for REPO_PARENT # use absolute path for REPO_PARENT
REPO_PARENT ?= $(shell pwd)/../.. REPO_PARENT ?= $(shell pwd)/../..
......
.. SPDX-FileCopyrightText: 2022 CERN (home.cern)
..
.. SPDX-License-Identifier: LGPL-2.1-or-later
Please check doc/ Please check doc/
In ./doc/, fine-delay.in is the source file, and you can "make" to get In ./doc/, fine-delay.in is the source file, and you can "make" to get
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: GPL-2.0-or-later
.tmp_versions .tmp_versions
.*.o.d .*.o.d
*.cmd *.cmd
......
# SPDX-FileCopyrightText: 2022 CERN (home.cern)
#
# SPDX-License-Identifier: GPL-2.0-or-later
KBUILD_EXTRA_SYMBOLS += $(ZIO_EXTRA_SYMBOLS-y) KBUILD_EXTRA_SYMBOLS += $(ZIO_EXTRA_SYMBOLS-y)
KBUILD_EXTRA_SYMBOLS += $(FMC_EXTRA_SYMBOLS-y) KBUILD_EXTRA_SYMBOLS += $(FMC_EXTRA_SYMBOLS-y)
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* Accessing the ACAM chip and configuring it. //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/jiffies.h> #include <linux/jiffies.h>
#include <linux/io.h> #include <linux/io.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* Calibrate the output path. //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/kernel.h> #include <linux/kernel.h>
#include <linux/slab.h> #include <linux/slab.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* Code related to on-eeprom calibration: retrieving, defaulting, updating. //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2013 CERN (www.cern.ch)
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/time.h> #include <linux/time.h>
#include <linux/jhash.h> #include <linux/jhash.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* core fine-delay driver (i.e., init and exit of the subsystems) //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/kernel.h> #include <linux/kernel.h>
#include <linux/module.h> #include <linux/module.h>
......
/*\ // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* ZIO interface for the fine-delay driver //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/kernel.h> #include <linux/kernel.h>
#include <linux/module.h> #include <linux/module.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* ZIO interface for the fine-delay driver //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/kernel.h> #include <linux/kernel.h>
#include <linux/module.h> #include <linux/module.h>
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: GPL-2.0-or-later
#ifndef __FINE_DELAY_H__ #ifndef __FINE_DELAY_H__
#define __FINE_DELAY_H__ #define __FINE_DELAY_H__
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* SPI access to fine-delay internals //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/io.h> #include <linux/io.h>
#include "fine-delay.h" #include "fine-delay.h"
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: GPL-2.0-or-later
#ifndef __ACAM_GPX_H #ifndef __ACAM_GPX_H
#define __ACAM_GPX_H #define __ACAM_GPX_H
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: GPL-2.0-or-later
/* /*
Register definitions for slave core: Fine Delay Channel WB Slave Register definitions for slave core: Fine Delay Channel WB Slave
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: GPL-2.0-or-later
/* /*
Register definitions for slave core: Fine Delay Main WB Slave Register definitions for slave core: Fine Delay Main WB Slave
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
//
// SPDX-License-Identifier: GPL-2.0-or-later
#ifndef __PLL_CONFIG_H__ #ifndef __PLL_CONFIG_H__
#define __PLL_CONFIG_H__ #define __PLL_CONFIG_H__
......
// SPDX-FileCopyrightText: 2022 CERN (home.cern)
/* //
* Access to 1w thermometer // SPDX-License-Identifier: GPL-2.0-or-later
*
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/jiffies.h> #include <linux/jiffies.h>
#include <linux/interrupt.h> #include <linux/interrupt.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* PLL access (AD9516) for fine-delay card //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/kernel.h> #include <linux/kernel.h>
#include <linux/io.h> #include <linux/io.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* SPI access to fine-delay internals //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/jiffies.h> #include <linux/jiffies.h>
#include <linux/io.h> #include <linux/io.h>
......
/* // SPDX-FileCopyrightText: 2022 CERN (home.cern)
* SPI access to fine-delay internals //
* // SPDX-License-Identifier: GPL-2.0-or-later
* Copyright (C) 2012 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* This program is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public License
* version 2 as published by the Free Software Foundation or, at your
* option, any later version.
*/
#include <linux/io.h> #include <linux/io.h>
#include <linux/time.h> #include <linux/time.h>
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment