Commit 1a685506 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

hdl/rtl/fd_delay_line_arbiter.vhd: drive delay_len_o inactive upon reset

parent c00e32c0
...@@ -6,7 +6,7 @@ ...@@ -6,7 +6,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN -- Company : CERN
-- Created : 2011-08-24 -- Created : 2011-08-24
-- Last update: 2012-02-26 -- Last update: 2012-04-25
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -93,6 +93,7 @@ begin -- behavioral ...@@ -93,6 +93,7 @@ begin -- behavioral
if rst_n_i = '0' then if rst_n_i = '0' then
delay_len_reg <= (others => '1'); delay_len_reg <= (others => '1');
delay_val_reg <= (others => '0'); delay_val_reg <= (others => '0');
delay_len_o <= (others => '1');
-- done_reg <= (others => '0'); -- done_reg <= (others => '0');
done_o <= (others => '0'); done_o <= (others => '0');
arb_sreg <= std_logic_vector(to_unsigned(1, arb_sreg'length)); arb_sreg <= std_logic_vector(to_unsigned(1, arb_sreg'length));
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment