Skip to content
Snippets Groups Projects
Commit 6747543a authored by Wesley W. Terpstra's avatar Wesley W. Terpstra
Browse files

Fixup the packaging for ISE

parent 7536a708
Branches
Tags
No related merge requests found
......@@ -7,6 +7,7 @@ files = [
"EB_TX_CTRL.vhd",
"etherbone_pkg.vhd",
"sipo_flag.vhd",
"vhdl_2008_workaround_pkg.vhd",
"WB_bus_adapter_streaming_sg.vhd",
"wishbone_package16.vhd",
"wishbone_package32.vhd",
......
......@@ -2,6 +2,10 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.wishbone_pkg.all;
use work.wr_fabric_pkg.all;
package etherbone_pkg is
component EB_CORE is
generic(g_master_slave : STRING := "SLAVE");
......@@ -16,4 +20,5 @@ package etherbone_pkg is
cfg_slave_i : in t_wishbone_slave_in;
master_o : out t_wishbone_master_out;
master_i : in t_wishbone_master_in);
end component;
end etherbone_pkg;
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment