Commit 68db8231 authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

format XDC file

parent 707c5e5e
Pipeline #3467 failed with stages
in 37 minutes and 25 seconds
################################################################################
# ##############################################################################
#
# Constraints for DIOT System Board Version 2
#
################################################################################
# ##############################################################################
# ------------------------------------------------------------------------------
# GT REG CLK: 125 MHz
set_property PACKAGE_PIN AH10 [get_ports {gtrefclk_in_clk_p}]
create_clock -period 8.000 -name gt_ref_clk -waveform {0.000 4.000} [get_ports {gtrefclk_in_clk_p}]
# ------------------------------------------------------------------------------
# Clock Selector
set_property -dict {PACKAGE_PIN AW5 IOSTANDARD LVCMOS18} [get_ports {clk_src_sel_o[1]}]
set_property -dict {PACKAGE_PIN AH17 IOSTANDARD LVCMOS18} [get_ports {clk_src_sel_o[0]}]
# ------------------------------------------------------------------------------
# Power Cycle Request
set_property -dict {PACKAGE_PIN A31 IOSTANDARD LVCMOS18} [get_ports {pwr_cycle_req_o_0}]
# ------------------------------------------------------------------------------
# BUS Monitor
set_property -dict {PACKAGE_PIN J10 IOSTANDARD LVCMOS33} [get_ports {f_rst}]
set_property -dict {PACKAGE_PIN G14 IOSTANDARD LVCMOS33} [get_ports {p_pres_i_0[0]}]
set_property -dict {PACKAGE_PIN H14 IOSTANDARD LVCMOS33} [get_ports {p_pres_i_0[1]}]
# ------------------------------------------------------------------------------
# LEDs:
# SFP_ACT_LED
set_property -dict {PACKAGE_PIN J30 IOSTANDARD LVCMOS18} [get_ports {link_status_led[0]}]
# From USER_LED2 from schematic
set_property -dict {PACKAGE_PIN AL26 IOSTANDARD LVCMOS18} [get_ports {pl_reset_led}]
# From USER_LED1 from schematic
set_property -dict {PACKAGE_PIN AT27 IOSTANDARD LVCMOS18} [get_ports {mdc_clk_led[0]}]
set_property -dict {PACKAGE_PIN K27 IOSTANDARD LVCMOS18} [get_ports {link_sync_led[0]}]
# ------------------------------------------------------------------------------
# PSU Alert
set_property -dict {PACKAGE_PIN AR8 IOSTANDARD LVCMOS18} [get_ports {psu_alert_i}]
# ------------------------------------------------------------------------------
# I2C EMIO
set_property -dict {PACKAGE_PIN K22 IOSTANDARD LVCMOS18} [get_ports {emio_i2c_scl[0]}]
set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS18} [get_ports {emio_i2c_sda[0]}]
# ------------------------------------------------------------------------------
# I2C wr Flash
set_property -dict {PACKAGE_PIN AL12 IOSTANDARD LVCMOS18} [get_ports {wrflash_scl[0]}]
set_property -dict {PACKAGE_PIN AT15 IOSTANDARD LVCMOS18} [get_ports {wrflash_sda[0]}]
# ------------------------------------------------------------------------------
# I2C Backplane
set_property -dict {IOSTANDARD LVCMOS33 PACKAGE_PIN F15} [get_ports {bckpl_scl}]
set_property -dict {IOSTANDARD LVCMOS33 PACKAGE_PIN G15} [get_ports {bckpl_sda}]
# ------------------------------------------------------------------------------
# SFP
set_property -dict {PACKAGE_PIN A11 IOSTANDARD LVCMOS33} [get_ports {tx_disable_o}]
set_property PACKAGE_PIN AJ4 [get_ports sfp_rxp]
set_property PACKAGE_PIN AJ3 [get_ports sfp_rxn]
set_property PACKAGE_PIN AJ8 [get_ports sfp_txp]
set_property PACKAGE_PIN AJ7 [get_ports sfp_txn]
set_property PACKAGE_PIN K22 [get_ports {emio_i2c_scl[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports {emio_i2c_scl[0]}]
set_property PACKAGE_PIN J20 [get_ports {emio_i2c_sda[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports {emio_i2c_sda[0]}]
#gtref_clock
set_property PACKAGE_PIN AH10 [get_ports gtrefclk_in_clk_p]
#125 MHz
create_clock -period 8.000 -name gt_ref_clk -waveform {0.000 4.000} [get_ports gtrefclk_in_clk_p]
#GPIO_LED[1]
#From SFP_ACT_LED from schematic
set_property PACKAGE_PIN J30 [get_ports {link_status_led[0]}]
#From USER_LED2 from schematic
set_property PACKAGE_PIN AL26 [get_ports {pl_reset_led}]
#From USER_LED1 from schematic
set_property PACKAGE_PIN AT27 [get_ports {mdc_clk_led[0]}]
set_property PACKAGE_PIN K27 [get_ports {link_sync_led[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports *led]
set_property PACKAGE_PIN H14 [get_ports {p_pres_i_0[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {p_pres_i_0[1]}]
set_property PACKAGE_PIN G14 [get_ports {p_pres_i_0[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {p_pres_i_0[0]}]
set_property PACKAGE_PIN A31 [get_ports pwr_cycle_req_o_0]
set_property IOSTANDARD LVCMOS18 [get_ports pwr_cycle_req_o_0]
set_property PACKAGE_PIN AL12 [get_ports {wrflash_scl[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports {wrflash_scl[0]}]
set_property PACKAGE_PIN AT15 [get_ports {wrflash_sda[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports {wrflash_sda[0]}]
set_property PACKAGE_PIN B15 [get_ports {bckpl_servmod_b[0]}]
set_property PACKAGE_PIN A13 [get_ports {bckpl_servmod_b[1]}]
set_property PACKAGE_PIN B13 [get_ports {bckpl_servmod_b[2]}]
set_property PACKAGE_PIN B14 [get_ports {bckpl_servmod_b[3]}]
set_property PACKAGE_PIN C14 [get_ports {bckpl_servmod_b[4]}]
set_property PACKAGE_PIN C13 [get_ports {bckpl_servmod_b[5]}]
set_property PACKAGE_PIN D14 [get_ports {bckpl_servmod_b[6]}]
set_property PACKAGE_PIN A15 [get_ports {bckpl_servmod_b[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {bckpl_servmod_b[0]}]
set_property PACKAGE_PIN H11 [get_ports bckpl_rst_n_o]
set_property IOSTANDARD LVCMOS33 [get_ports bckpl_rst_n_o]
set_property PACKAGE_PIN AR8 [get_ports psu_alert_i]
set_property IOSTANDARD LVCMOS18 [get_ports psu_alert_i]
set_property IOSTANDARD LVCMOS33 [get_ports bckpl_scl]
set_property IOSTANDARD LVCMOS33 [get_ports bckpl_sda]
set_property PACKAGE_PIN F15 [get_ports bckpl_scl]
set_property PACKAGE_PIN G15 [get_ports bckpl_sda]
set_property PULLUP true [get_ports {bckpl_servmod_b[7]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[6]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[5]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[4]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[3]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[2]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[1]}]
set_property PULLUP true [get_ports {bckpl_servmod_b[0]}]
set_property PACKAGE_PIN AW5 [get_ports {clk_src_sel_o[1]}]
set_property PACKAGE_PIN AH17 [get_ports {clk_src_sel_o[0]}]
set_property IOSTANDARD LVCMOS18 [get_ports {clk_src_sel_o[1]}]
set_property IOSTANDARD LVCMOS18 [get_ports {clk_src_sel_o[0]}]
set_property PACKAGE_PIN J10 [get_ports f_rst]
set_property IOSTANDARD LVCMOS33 [get_ports f_rst]
set_property PACKAGE_PIN A11 [get_ports tx_disable_o]
set_property IOSTANDARD LVCMOS33 [get_ports tx_disable_o]
# ------------------------------------------------------------------------------
# Backplain
set_property -dict {PACKAGE_PIN B15 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[0]}]
set_property -dict {PACKAGE_PIN A13 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[1]}]
set_property -dict {PACKAGE_PIN B13 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[2]}]
set_property -dict {PACKAGE_PIN B14 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[3]}]
set_property -dict {PACKAGE_PIN C14 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[4]}]
set_property -dict {PACKAGE_PIN C13 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[5]}]
set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[6]}]
set_property -dict {PACKAGE_PIN A15 IOSTANDARD LVCMOS33 PULLUP true} [get_ports {bckpl_servmod_b[7]}]
set_property -dict {PACKAGE_PIN H11 IOSTANDARD LVCMOS33} [get_ports {bckpl_rst_n_o}]
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment