Commit aa091233 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Small changes in top-level module interface

parent 0c9c6def
......@@ -108,6 +108,7 @@ entity conv_common_gw is
pulse_o : out std_logic_vector(g_nr_chans-1 downto 0);
-- Channel leds
-- 26 ms active-high pulse on pulse_o rising edge
led_pulse_o : out std_logic_vector(g_nr_chans-1 downto 0);
-- I2C interface
......@@ -118,6 +119,12 @@ entity conv_common_gw is
sda_o : out std_logic;
sda_en_o : out std_logic;
-- I2C LED signals -- conect to a bicolor LED of choice
-- led_i2c_o pulses four times on I2C transfer
-- led_i2c_err_o reflects the state of SR.I2C_ERR bit in conv_regs
led_i2c_o : out std_logic;
led_i2c_err_o : out std_logic;
-- VME interface
vme_ga_i : in std_logic_vector(4 downto 0);
vme_gap_i : in std_logic;
......@@ -147,12 +154,6 @@ entity conv_common_gw is
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
-- I2C LED signals -- conect to a bicolor LED of choice
-- led_i2c_o pulses four times on I2C transfer
-- led_i2c_err_o reflects the state of SR.I2C_ERR bit in conv_regs
led_i2c_o : out std_logic;
led_i2c_err_o : out std_logic;
-- Switch inputs (for readout from converter status register)
sw_gp_i : in std_logic_vector(7 downto 0);
sw_multicast_i : in std_logic_vector(3 downto 0);
......@@ -162,8 +163,8 @@ entity conv_common_gw is
rtmp_i : in std_logic_vector(2 downto 0);
-- TTL, INV-TTL and rear-panel channel inputs, for reflection in line status register
line_ttl_i : in std_logic_vector(g_nr_chans-1 downto 0);
line_invttl_i : in std_logic_vector(3 downto 0);
line_front_i : in std_logic_vector(g_nr_chans-1 downto 0);
line_inv_i : in std_logic_vector(3 downto 0);
line_rear_i : in std_logic_vector(g_nr_chans-1 downto 0);
line_rear_fs_i : in std_logic_vector(g_nr_chans-1 downto 0);
......@@ -706,8 +707,8 @@ begin
reg_ch6ltsthr_tai_i => (others => '0'),
reg_ch6ltsthr_wrtag_i => '0',
reg_lsr_front_ttl_i => line_ttl_i,
reg_lsr_front_invttl_i => line_invttl_i,
reg_lsr_front_ttl_i => line_front_i,
reg_lsr_front_invttl_i => line_inv_i,
reg_lsr_rear_i => line_rear_i,
reg_lsr_fs_i => line_rear_fs_i,
......
......@@ -98,6 +98,7 @@ package conv_common_gw_pkg is
pulse_o : out std_logic_vector(g_nr_chans-1 downto 0);
-- Channel leds
-- 26 ms active-high pulse on pulse_o rising edge
led_pulse_o : out std_logic_vector(g_nr_chans-1 downto 0);
-- I2C interface
......@@ -108,6 +109,12 @@ package conv_common_gw_pkg is
sda_o : out std_logic;
sda_en_o : out std_logic;
-- I2C LED signals -- conect to a bicolor LED of choice
-- led_i2c_o pulses four times on I2C transfer
-- led_i2c_err_o reflects the state of SR.I2C_ERR bit in conv_regs
led_i2c_o : out std_logic;
led_i2c_err_o : out std_logic;
-- VME interface
vme_ga_i : in std_logic_vector(4 downto 0);
vme_gap_i : in std_logic;
......@@ -137,12 +144,6 @@ package conv_common_gw_pkg is
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
-- I2C LED signals -- conect to a bicolor LED of choice
-- led_i2c_o pulses four times on I2C transfer
-- led_i2c_err_o reflects the state of SR.I2C_ERR bit in conv_regs
led_i2c_o : out std_logic;
led_i2c_err_o : out std_logic;
-- Switch inputs (for readout from converter status register)
sw_gp_i : in std_logic_vector(7 downto 0);
sw_multicast_i : in std_logic_vector(3 downto 0);
......@@ -152,8 +153,8 @@ package conv_common_gw_pkg is
rtmp_i : in std_logic_vector(2 downto 0);
-- TTL, INV-TTL and rear-panel channel inputs, for reflection in line status register
line_ttl_i : in std_logic_vector(g_nr_chans-1 downto 0);
line_invttl_i : in std_logic_vector(3 downto 0);
line_front_i : in std_logic_vector(g_nr_chans-1 downto 0);
line_inv_i : in std_logic_vector(3 downto 0);
line_rear_i : in std_logic_vector(g_nr_chans-1 downto 0);
line_rear_fs_i : in std_logic_vector(g_nr_chans-1 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment