Commit 0c9c6def authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Changed switch interface to be logic high

parent 6e1a5f9e
......@@ -154,8 +154,8 @@ entity conv_common_gw is
led_i2c_err_o : out std_logic;
-- Switch inputs (for readout from converter status register)
sw_gp_n_i : in std_logic_vector(7 downto 0);
sw_multicast_n_i : in std_logic_vector(3 downto 0);
sw_gp_i : in std_logic_vector(7 downto 0);
sw_multicast_i : in std_logic_vector(3 downto 0);
-- RTM lines
rtmm_i : in std_logic_vector(2 downto 0);
......@@ -604,10 +604,6 @@ begin
--============================================================================
-- Converter board registers
--============================================================================
-- Make switches readout as '1' for ON, instead of '0'
sw_gp <= not sw_gp_n_i;
sw_multicast <= not sw_multicast_n_i;
-- RTM lines combo
rtm_lines <= rtmp_i & rtmm_i;
......@@ -630,7 +626,7 @@ begin
reg_bidr_i => g_board_id,
reg_sr_gwvers_i => g_gwvers,
reg_sr_switches_i => sw_gp,
reg_sr_switches_i => sw_gp_i,
reg_sr_rtm_i => rtm_lines,
reg_sr_i2c_wdto_o => i2c_wdto_bit_rst,
reg_sr_i2c_wdto_i => i2c_wdto_bit,
......@@ -715,7 +711,7 @@ begin
reg_lsr_rear_i => line_rear_i,
reg_lsr_fs_i => line_rear_fs_i,
reg_mswr_bit_i => sw_multicast
reg_mswr_bit_i => sw_multicast_i
);
-- Implement the RST_UNLOCK bit
......
......@@ -144,9 +144,9 @@ package conv_common_gw_pkg is
led_i2c_err_o : out std_logic;
-- Switch inputs (for readout from converter status register)
sw_gp_n_i : in std_logic_vector(7 downto 0);
sw_multicast_n_i : in std_logic_vector(3 downto 0);
sw_gp_i : in std_logic_vector(7 downto 0);
sw_multicast_i : in std_logic_vector(3 downto 0);
-- RTM lines
rtmm_i : in std_logic_vector(2 downto 0);
rtmp_i : in std_logic_vector(2 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment