Commit 6a21f83f authored by Dave Newbold's avatar Dave Newbold

Exposing full sctr length to channels

parent 9e608510
......@@ -39,7 +39,7 @@ entity sc_chan is
d_test: in std_logic_vector(13 downto 0);
q_test: out std_logic_vector(13 downto 0);
sync_ctrl: in std_logic_vector(3 downto 0);
sctr: in std_logic_vector(13 downto 0);
sctr: in std_logic_vector(47 downto 0);
fake: in std_logic_vector(13 downto 0);
nzs_en: in std_logic;
zs_en: in std_logic;
......
......@@ -30,7 +30,7 @@ entity sc_channels is
d_p: in std_logic_vector(N_CHAN - 1 downto 0);
d_n: in std_logic_vector(N_CHAN - 1 downto 0);
sync_ctrl: in std_logic_vector(3 downto 0);
sctr: in std_logic_vector(13 downto 0);
sctr: in std_logic_vector(47 downto 0);
fake: in std_logic_vector(13 downto 0);
nzs_en: in std_logic;
zs_en: in std_logic;
......
......@@ -124,7 +124,7 @@ begin
d_p => d_p,
d_n => d_n,
sync_ctrl => sync_ctrl,
sctr => sctr(13 downto 0),
sctr => sctr,
fake => fake,
nzs_en => nzs_en,
zs_en => zs_en,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment