Commit 1f80148d authored by Tristan Gingold's avatar Tristan Gingold

wrtd_ref_spec150t_adc: adjust code

parent ff9b8b30
......@@ -673,9 +673,6 @@ begin -- architecture arch
mezz_one_wire_b => fmc0_adc_one_wire_b,
sys_scl_b => fmc0_scl_b,
sys_sda_b => fmc0_sda_b,
wr_tm_link_up_i => tm_link_up,
wr_tm_time_valid_i => tm_time_valid_sync,
wr_tm_tai_i => tm_tai,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment