Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
W
wr2rf-vme
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
5
Issues
5
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
wr2rf-vme
Commits
9f9358a9
Commit
9f9358a9
authored
Jun 26, 2020
by
Dimitris Lampridis
Committed by
Tristan Gingold
Jun 29, 2020
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Add new separate signals for VME geographical addressing when not plugged to a VME64x backplane.
See also:
#16
parent
4fe6e8ed
Show whitespace changes
Inline
Side-by-side
Showing
1 changed file
with
18 additions
and
14 deletions
+18
-14
wr2rf_vme.xdc
hdl/syn/wr2rf_vme.xdc
+18
-14
No files found.
hdl/syn/wr2rf_vme.xdc
View file @
9f9358a9
...
...
@@ -198,6 +198,7 @@ set_property direction IN [get_ports {spi_flash_miso_i}]
set_property direction OUT [get_ports {spi_flash_mosi_o}]
set_property direction IN [get_ports {switch_n[0]}]
set_property direction IN [get_ports {switch_n[1]}]
set_property direction IN [get_ports {switch_n[2]}]
set_property direction IN [get_ports {tmg_clk_i[1]}]
set_property direction IN [get_ports {tmg_clk_i[2]}]
set_property direction OUT [get_ports {tmg_clk_o[1]}]
...
...
@@ -270,6 +271,11 @@ set_property direction IN [get_ports {vme_ds_n_i[0]}]
set_property direction IN [get_ports {vme_ds_n_i[1]}]
set_property direction OUT [get_ports {vme_dtack_n_o}]
set_property direction OUT [get_ports {vme_dtack_oe_o}]
set_property direction IN [get_ports {vme_noga_n_i[0]}]
set_property direction IN [get_ports {vme_noga_n_i[1]}]
set_property direction IN [get_ports {vme_noga_n_i[2]}]
set_property direction IN [get_ports {vme_noga_n_i[3]}]
set_property direction IN [get_ports {vme_noga_n_i[4]}]
set_property direction IN [get_ports {vme_ga_n_i[0]}]
set_property direction IN [get_ports {vme_ga_n_i[1]}]
set_property direction IN [get_ports {vme_ga_n_i[2]}]
...
...
@@ -919,6 +925,11 @@ set_property IOSTANDARD LVCMOS33 [get_ports {vme_data_b[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_data_b[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_data_b[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_data_b[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_noga_n_i[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_noga_n_i[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_noga_n_i[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_noga_n_i[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_noga_n_i[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_ga_n_i[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_ga_n_i[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_ga_n_i[2]}]
...
...
@@ -1212,6 +1223,7 @@ set_property PACKAGE_PIN J23 [get_ports {vme_addr_b[22]}]
set_property PACKAGE_PIN L23 [get_ports {vme_addr_b[23]}]
set_property IOSTANDARD LVCMOS33 [get_ports {switch_n[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {switch_n[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {switch_n[2]}]
set_property PACKAGE_PIN P23 [get_ports vme_p0_h1_tc_o]
set_property PACKAGE_PIN R22 [get_ports vme_p0_h2_c40_o]
...
...
@@ -1263,6 +1275,12 @@ set_property PACKAGE_PIN AC17 [get_ports rf2_t2_n_o]
set_property PACKAGE_PIN M25 [get_ports {switch_n[0]}]
set_property PACKAGE_PIN L25 [get_ports {switch_n[1]}]
set_property PACKAGE_PIN T20 [get_ports {switch_n[2]}]
set_property PACKAGE_PIN D23 [get_ports {vme_noga_n_i[0]}]
set_property PACKAGE_PIN D24 [get_ports {vme_noga_n_i[1]}]
set_property PACKAGE_PIN F22 [get_ports {vme_noga_n_i[2]}]
set_property PACKAGE_PIN E23 [get_ports {vme_noga_n_i[3]}]
set_property PACKAGE_PIN G22 [get_ports {vme_noga_n_i[4]}]
set_property PACKAGE_PIN N16 [get_ports {vme_ga_n_i[0]}]
set_property PACKAGE_PIN K25 [get_ports {vme_ga_n_i[1]}]
set_property PACKAGE_PIN K26 [get_ports {vme_ga_n_i[2]}]
...
...
@@ -1270,20 +1288,6 @@ set_property PACKAGE_PIN R26 [get_ports {vme_ga_n_i[3]}]
set_property PACKAGE_PIN P26 [get_ports {vme_ga_n_i[4]}]
set_property PACKAGE_PIN P24 [get_ports vme_gap_i]
set_property PULLUP true [get_ports {vme_ga_n_i[0]}]
set_property PULLUP true [get_ports {vme_ga_n_i[1]}]
set_property PULLUP true [get_ports {vme_ga_n_i[2]}]
set_property PULLUP true [get_ports {vme_ga_n_i[3]}]
set_property PULLUP true [get_ports {vme_ga_n_i[4]}]
set_property PULLUP true [get_ports vme_gap_i]
set_property PULLUP true [get_ports {switch_n[0]}]
set_property PULLUP true [get_ports {switch_n[1]}]
set_property PACKAGE_PIN V13 [get_ports rf2_iqdac_reset_o]
set_property PACKAGE_PIN U4 [get_ports rf1_iqdac_reset_o]
set_property PACKAGE_PIN U9 [get_ports rf1_mixer_en_o]
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment