Commit 97a617b4 authored by Tristan Gingold's avatar Tristan Gingold

wr2rf_vme: use phy records for xwr_core

parent a10a60f4
......@@ -895,6 +895,7 @@ begin
g_aux_clks => 0,
g_ep_rxbuf_size => 1024,
g_tx_runt_padding => true,
g_records_for_phy => true,
g_pcs_16bit => true,
g_dpram_initf => g_dpram_initf,
g_dpram_size => (131072+65536)/4,
......@@ -923,27 +924,27 @@ begin
dac_hpll_data_o => dac_hpll_data_o,
dac_dpll_load_p1_o => dac_dpll_load_p1_o,
dac_dpll_data_o => dac_dpll_data_o,
phy_ref_clk_i => clk_sys_62m5,
phy_tx_data_o => phy_tx_data,
phy_tx_k_o => phy_tx_k,
phy_tx_disparity_i => phy_tx_disparity,
phy_tx_enc_err_i => phy_tx_enc_err,
phy_rx_data_i => phy_rx_data,
phy_rx_rbclk_i => phy_rx_rbclk,
phy_rx_k_i => phy_rx_k,
phy_rx_enc_err_i => phy_rx_enc_err,
phy_rx_bitslide_i => "00000", --phy_rx_bitslide,
phy_rst_o => phy_rst,
phy_rdy_i => phy_rdy,
phy16_i.ref_clk => clk_sys_62m5,
phy16_i.tx_disparity => phy_tx_disparity,
phy16_i.tx_enc_err => phy_tx_enc_err,
phy16_i.rx_data => phy_rx_data,
phy16_i.rx_k => phy_rx_k,
phy16_i.rx_enc_err => phy_rx_enc_err,
phy16_i.rx_bitslide => "00000", --phy_rx_bitslide,
phy16_i.rdy => phy_rdy,
phy16_i.rx_clk => phy_rx_rbclk,
phy16_i.rx_sampled_clk => phy_rx_rbclk_sampled,
phy16_i.sfp_tx_fault => sfp1_tx_fault_i,
phy16_i.sfp_los => sfp1_los_i,
phy16_o.tx_data => phy_tx_data,
phy16_o.tx_k => phy_tx_k,
phy16_o.rst => phy_rst,
phy16_o.loopen => phy_loopen,
phy16_o.loopen_vec => phy_loopen_vec,
phy16_o.tx_prbs_sel => phy_prbs_sel,
phy16_o.sfp_tx_disable => sfp1_tx_disable_o,
phy_mdio_master_o => phy_mdio_out,
phy_mdio_master_i => phy_mdio_in,
phy_rx_rbclk_sampled_i => phy_rx_rbclk_sampled,
phy_loopen_o => phy_loopen,
phy_loopen_vec_o => phy_loopen_vec,
phy_tx_prbs_sel_o => phy_prbs_sel,
phy_sfp_tx_fault_i => sfp1_tx_fault_i,
phy_sfp_los_i => sfp1_los_i,
phy_sfp_tx_disable_o => sfp1_tx_disable_o,
led_act_o => sfp1_led_active_o,
led_link_o => sfp1_led_link_o,
scl_o => wr1_scl_out,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment