Commit 5a8c1410 authored by Tristan Gingold's avatar Tristan Gingold

build.sh: add Vivado setting script

parent bbfca55b
# . /opt/Xilinx/Vivado/2018.2/settings64.sh
git clean -fdx .
hdlmake
make files.tcl
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment