Commit 52ba90b4 authored by Tristan Gingold's avatar Tristan Gingold

ci-scripts: add scripts to rebuild nonIQModInterp2FIR

parent 03f0cf3f
#!/bin/bash
# Assume vivado 2018.2
# usage: ./ci-scripts/rebuild_nonIQModInterp2FIR.sh
set -e
# clone
mkdir update-nonIQModInterp2FIR
cd update-nonIQModInterp2FIR
git clone https://gitlab.cern.ch/BE-RF-PLDesign/Libraries/xilinx/nonIQModInterp2FIR.git
cd nonIQModInterp2FIR/Vivado/
rm -rf Work
# Adjust part_name
sed -i -e 's/set part_name xc.*/set part_name xc7k160tfbg676-2/' Options/build.tcl
vivado -mode tcl -source ../../../ci-scripts/rebuild_nonIQModInterp2FIR.tcl
# TODO: copy result (synth verilog file and vhdl wrapper)
# rename the wrapper module in the verilog file
source Options/build.tcl
update_compile_order -fileset sources_1
#synth_design -top "nonIQModInterp2FIR_wrapper" -part ${part_name}
launch_runs synth_1 -jobs 24
wait_on_run synth_1
open_run synth_1 -name synth_1
write_verilog nonIQModInterp2FIR.v
set_property target_language VHDL [current_project]
make_wrapper -files [get_files Work/nonIQModInterp2FIR.srcs/sources_1/bd/nonIQModInterp2FIR/nonIQModInterp2FIR.bd] -top
exit
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment