Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
W
wr2rf-vme
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
5
Issues
5
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
wr2rf-vme
Commits
52ba90b4
Commit
52ba90b4
authored
Mar 08, 2023
by
Tristan Gingold
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
ci-scripts: add scripts to rebuild nonIQModInterp2FIR
parent
03f0cf3f
Hide whitespace changes
Inline
Side-by-side
Showing
2 changed files
with
39 additions
and
0 deletions
+39
-0
rebuild_nonIQModInterp2FIR.sh
ci-scripts/rebuild_nonIQModInterp2FIR.sh
+24
-0
rebuild_nonIQModInterp2FIR.tcl
ci-scripts/rebuild_nonIQModInterp2FIR.tcl
+15
-0
No files found.
ci-scripts/rebuild_nonIQModInterp2FIR.sh
0 → 100755
View file @
52ba90b4
#!/bin/bash
# Assume vivado 2018.2
# usage: ./ci-scripts/rebuild_nonIQModInterp2FIR.sh
set
-e
# clone
mkdir
update-nonIQModInterp2FIR
cd
update-nonIQModInterp2FIR
git clone https://gitlab.cern.ch/BE-RF-PLDesign/Libraries/xilinx/nonIQModInterp2FIR.git
cd
nonIQModInterp2FIR/Vivado/
rm
-rf
Work
# Adjust part_name
sed
-i
-e
's/set part_name xc.*/set part_name xc7k160tfbg676-2/'
Options/build.tcl
vivado
-mode
tcl
-source
../../../ci-scripts/rebuild_nonIQModInterp2FIR.tcl
# TODO: copy result (synth verilog file and vhdl wrapper)
# rename the wrapper module in the verilog file
ci-scripts/rebuild_nonIQModInterp2FIR.tcl
0 → 100644
View file @
52ba90b4
source
Options/build.tcl
update_compile_order -fileset sources_1
#synth_design -top "nonIQModInterp2FIR_wrapper" -part ${part_name
}
launch_runs synth_1 -jobs 24
wait_on_run synth_1
open_run synth_1 -name synth_1
write_verilog nonIQModInterp2FIR.v
set_property target_language VHDL
[
current_project
]
make_wrapper -files
[
get_files Work/nonIQModInterp2FIR.srcs/sources_1/bd/nonIQModInterp2FIR/nonIQModInterp2FIR.bd
]
-top
exit
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment