Commit 1df96bbf authored by Tristan Gingold's avatar Tristan Gingold

vtuCore: reorder ports, add comments.

parent 939c553d
...@@ -886,13 +886,20 @@ use work.MemMap_ctuAsVtu.all; ...@@ -886,13 +886,20 @@ use work.MemMap_ctuAsVtu.all;
entity vtuCore is entity vtuCore is
port ( port (
-- Interface to the memory for the play memory mode. Clk : in std_logic;
Mem_RdDone : in std_logic; Rst : in std_logic; -- Synchronous to QCLk
Mem_Addr : out std_logic_vector(14 downto 0 );
Mem_RdData : in std_logic_vector(7 downto 0 ); -- Inputs.
Mem_RdEna : out std_logic; Start : in std_logic;
Stop : in std_logic;
-- Sync input.
DataIn : in std_logic_vector(7 downto 0 );
-- Output.
DataOut : out std_logic_vector(7 downto 0 );
-- Mode: -- Mode Parameter:
-- 000: single pulse -- 000: single pulse
-- 001: infinite window -- 001: infinite window
-- 010: windowed operation, -- 010: windowed operation,
...@@ -906,35 +913,35 @@ entity vtuCore is ...@@ -906,35 +913,35 @@ entity vtuCore is
bValue : in std_logic_vector(63 downto 0 ); bValue : in std_logic_vector(63 downto 0 );
wrongB : out std_logic; wrongB : out std_logic;
-- Parameter: first synchronize with sync (?)
UseSyncAsStart : in std_logic;
-- Parameter: number of pulses -- Parameter: number of pulses
htValue : in std_logic_vector(63 downto 0 ); htValue : in std_logic_vector(63 downto 0 );
htSwitching : in std_logic;
wrongHT : out std_logic; wrongHT : out std_logic;
-- Parameter: window size -- Parameter: window size
wValue : in std_logic_vector(63 downto 0 ); wValue : in std_logic_vector(63 downto 0 );
wrongW : out std_logic; wrongW : out std_logic;
-- Inputs. -- Parameter: first synchronize with sync (?)
Start : in std_logic; UseSyncAsStart : in std_logic;
Stop : in std_logic;
DataIn : in std_logic_vector(7 downto 0 ); -- Switch between htValue and htValue-1.
htSwitching : in std_logic;
-- Output. -- Interface to the memory for the play memory mode.
DataOut : out std_logic_vector(7 downto 0 ); Mem_RdDone : in std_logic;
Mem_Addr : out std_logic_vector(14 downto 0 );
Mem_RdData : in std_logic_vector(7 downto 0 );
Mem_RdEna : out std_logic;
-- Parameter: position of the last bit to be played. -- Parameter: position of the last bit to be played.
Mem_LastBit : in std_logic_vector(2 downto 0 ); Mem_LastBit : in std_logic_vector(2 downto 0 );
Mem_LastElem : in std_logic_vector(14 downto 0 ); Mem_LastElem : in std_logic_vector(14 downto 0 );
-- A pulse has been detected on DataIn.
SyncPulse : out std_logic; SyncPulse : out std_logic;
Rst : in std_logic;-- Synchronous to QCLk
Run : out std_logic; -- The VTU is running.
Clk : in std_logic Run : out std_logic
); );
end vtuCore; end vtuCore;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment