Commit dc822248 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

hwiu gateware info for v4.0 release

parent cc6e771e
...@@ -2,8 +2,8 @@ library ieee; ...@@ -2,8 +2,8 @@ library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
--generated automatically by gen_ver.py script-- --generated automatically by gen_ver.py script--
package hwver_pkg is package hwver_pkg is
constant c_build_date : std_logic_vector(31 downto 0) := x"12060e00"; constant c_build_date : std_logic_vector(31 downto 0) := x"04080e00";
constant c_switch_hdl_ver : std_logic_vector(31 downto 0) := x"0508ae9f"; constant c_switch_hdl_ver : std_logic_vector(31 downto 0) := x"0cc6e771";
constant c_gencores_ver : std_logic_vector(31 downto 0) := x"05118070"; constant c_gencores_ver : std_logic_vector(31 downto 0) := x"0ae5ff9a";
constant c_wrcores_ver : std_logic_vector(31 downto 0) := x"07efeb16"; constant c_wrcores_ver : std_logic_vector(31 downto 0) := x"0de3d197";
end package; end package;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment