Commit af932bbd authored by li hongming's avatar li hongming

change the clk_10m input source as currently WRSLJ uses single end signal

rather than differential signal for 10M input.
parent 87f02277
...@@ -1251,7 +1251,7 @@ ...@@ -1251,7 +1251,7 @@
<property xil_pn:name="Output File Name" xil_pn:value="scb_top_synthesis" xil_pn:valueState="default"/> <property xil_pn:name="Output File Name" xil_pn:value="scb_top_synthesis" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Yes" xil_pn:valueState="non-default"/> <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Yes" xil_pn:valueState="non-default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Outputs Only" xil_pn:valueState="non-default"/> <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Inputs and Outputs" xil_pn:valueState="non-default"/>
<property xil_pn:name="Package" xil_pn:value="ff1156" xil_pn:valueState="non-default"/> <property xil_pn:name="Package" xil_pn:value="ff1156" xil_pn:valueState="non-default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
...@@ -1363,7 +1363,7 @@ ...@@ -1363,7 +1363,7 @@
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/> <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/> <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/> <property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="User Browsed Strategy Files" xil_pn:value="/opt/Xilinx/14.7/ISE_DS/ISE/data/default.xds" xil_pn:valueState="non-default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/> <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/> <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
......
...@@ -145,6 +145,7 @@ entity scb_top_bare is ...@@ -145,6 +145,7 @@ entity scb_top_bare is
ljd_clk1_en : out std_logic; ljd_clk1_en : out std_logic;
ljd_clk2_en : out std_logic; ljd_clk2_en : out std_logic;
ljd_detected_o : out std_logic; ljd_detected_o : out std_logic;
ljd_present_o : out std_logic;
ljd_osc_freq_i : in std_logic_vector (2 downto 0); ljd_osc_freq_i : in std_logic_vector (2 downto 0);
-- LJD AD9516 -- LJD AD9516
ljd_pll_mosi_o : out std_logic; ljd_pll_mosi_o : out std_logic;
...@@ -466,6 +467,7 @@ architecture rtl of scb_top_bare is ...@@ -466,6 +467,7 @@ architecture rtl of scb_top_bare is
signal nic_rtu_rsp : t_rtu_response; signal nic_rtu_rsp : t_rtu_response;
signal nic_rtu_ack : std_logic; signal nic_rtu_ack : std_logic;
signal ljd_present : std_logic;
signal ljd_detected : std_logic; signal ljd_detected : std_logic;
signal dac_main_sync_n : std_logic; signal dac_main_sync_n : std_logic;
signal dac_main_sclk : std_logic; signal dac_main_sclk : std_logic;
...@@ -1332,20 +1334,14 @@ begin ...@@ -1332,20 +1334,14 @@ begin
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
-- WRS Low jitter daughterboard -- WRS Low jitter daughterboard
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
ljd_present <= '1' when ((ljd_detected='1') and (ljd_osc_freq_i/="111")) else '0';
ljd_detected_o <= ljd_detected; ljd_detected_o <= ljd_detected;
ljd_present_o <= ljd_present;
-- Redirect DAC output if external board detetected -- Redirect DAC output if external board detetected
dac_redirection : process (ljd_detected, ljd_osc_freq_i, dac_main_sync_n, dac_main_sclk, dac_main_data) dac_redirection : process (ljd_present, dac_main_sync_n, dac_main_sclk, dac_main_data)
begin begin
if (ljd_detected = '0') then if (ljd_present = '0') then
dac_main_sync_n_o <= dac_main_sync_n;
dac_main_sclk_o <= dac_main_sclk;
dac_main_data_o <= dac_main_data;
ljd_dac_main_sync_n_o <= '0';
ljd_dac_main_sclk_o <= '0';
ljd_dac_main_data_o <= '0';
else
if(ljd_osc_freq_i="111") then
dac_main_sync_n_o <= dac_main_sync_n; dac_main_sync_n_o <= dac_main_sync_n;
dac_main_sclk_o <= dac_main_sclk; dac_main_sclk_o <= dac_main_sclk;
dac_main_data_o <= dac_main_data; dac_main_data_o <= dac_main_data;
...@@ -1360,7 +1356,6 @@ begin ...@@ -1360,7 +1356,6 @@ begin
ljd_dac_main_sclk_o <= dac_main_sclk; ljd_dac_main_sclk_o <= dac_main_sclk;
ljd_dac_main_data_o <= dac_main_data; ljd_dac_main_data_o <= dac_main_data;
end if; end if;
end if;
end process; end process;
......
...@@ -370,6 +370,7 @@ package wrsw_top_pkg is ...@@ -370,6 +370,7 @@ package wrsw_top_pkg is
ljd_clk1_en : out std_logic; ljd_clk1_en : out std_logic;
ljd_clk2_en : out std_logic; ljd_clk2_en : out std_logic;
ljd_detected_o : out std_logic; ljd_detected_o : out std_logic;
ljd_present_o : out std_logic;
ljd_osc_freq_i : in std_logic_vector (2 downto 0); ljd_osc_freq_i : in std_logic_vector (2 downto 0);
ljd_pll_mosi_o : out std_logic; ljd_pll_mosi_o : out std_logic;
ljd_pll_miso_i : in std_logic; ljd_pll_miso_i : in std_logic;
......
...@@ -331,6 +331,7 @@ architecture Behavioral of scb_top_synthesis is ...@@ -331,6 +331,7 @@ architecture Behavioral of scb_top_synthesis is
signal ext_pll_100_locked, ext_pll_62_locked : std_logic; signal ext_pll_100_locked, ext_pll_62_locked : std_logic;
signal clk_ext_mul_locked : std_logic; signal clk_ext_mul_locked : std_logic;
signal ljd_detected : std_logic := '0'; signal ljd_detected : std_logic := '0';
signal ljd_present : std_logic := '0';
signal ext_clk_10MHz, ext_clk_10MHz_bufr, clk_10mhz : std_logic; signal ext_clk_10MHz, ext_clk_10MHz_bufr, clk_10mhz : std_logic;
signal ljd_clk_62mhz, ljd_clk_62mhz_bufr : std_logic; signal ljd_clk_62mhz, ljd_clk_62mhz_bufr : std_logic;
...@@ -380,6 +381,7 @@ architecture Behavioral of scb_top_synthesis is ...@@ -380,6 +381,7 @@ architecture Behavioral of scb_top_synthesis is
ljd_clk1_en : out std_logic; ljd_clk1_en : out std_logic;
ljd_clk2_en : out std_logic; ljd_clk2_en : out std_logic;
ljd_detected_o : out std_logic; ljd_detected_o : out std_logic;
ljd_present_o : out std_logic;
ljd_osc_freq_i : in std_logic_vector (2 downto 0); ljd_osc_freq_i : in std_logic_vector (2 downto 0);
ljd_pll_mosi_o : out std_logic; ljd_pll_mosi_o : out std_logic;
ljd_pll_miso_i : in std_logic; ljd_pll_miso_i : in std_logic;
...@@ -625,8 +627,8 @@ begin ...@@ -625,8 +627,8 @@ begin
O => clk_10mhz, O => clk_10mhz,
I0 => clk_ext, I0 => clk_ext,
I1 => ext_clk_10MHz_bufr, I1 => ext_clk_10MHz_bufr,
S1 => ljd_detected, S1 => ljd_present,
S0 => NOT ljd_detected); S0 => NOT ljd_present);
U_Buf_CLK_DMTD : IBUFGDS U_Buf_CLK_DMTD : IBUFGDS
generic map ( generic map (
...@@ -896,6 +898,7 @@ begin ...@@ -896,6 +898,7 @@ begin
ljd_clk1_en => ljd_clk1_en, ljd_clk1_en => ljd_clk1_en,
ljd_clk2_en => ljd_clk2_en, ljd_clk2_en => ljd_clk2_en,
ljd_detected_o => ljd_detected, ljd_detected_o => ljd_detected,
ljd_present_o => ljd_present,
ljd_osc_freq_i => ljd_osc_freq_i, ljd_osc_freq_i => ljd_osc_freq_i,
ljd_pll_mosi_o => ljd_pll_mosi_o, ljd_pll_mosi_o => ljd_pll_mosi_o,
ljd_pll_miso_i => ljd_pll_miso_i, ljd_pll_miso_i => ljd_pll_miso_i,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment