Commit 93b93831 authored by Konstantinos Blantos's avatar Konstantinos Blantos Committed by Adam Wujek

top/bare_top/scb_top_sim: changed the given values of clk_ext_mul_i & clk_ext_mul_locked_i

parent de8e6739
......@@ -154,6 +154,7 @@ architecture rtl of scb_top_sim is
signal i2c_sda_oen : std_logic_vector(2 downto 0);
signal i2c_sda_out : std_logic_vector(2 downto 0);
signal i2c_sda_in : std_logic_vector(2 downto 0);
begin -- rtl
......@@ -190,7 +191,7 @@ begin -- rtl
-- clk_sys_i => clk_sys_i,
clk_aux_i => clk_aux_i,
clk_ext_mul_i => '0',
clk_ext_mul_locked_i=> (others=>'1'),
clk_ext_mul_locked_i=> '1',
cpu_wb_i => cpu_wb_in,
cpu_wb_o => cpu_wb_out,
cpu_irq_n_o => cpu_irq_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment