Commit 4d33b558 authored by Adam Wujek's avatar Adam Wujek Committed by IEEE 1588 Working Group

.gitlab-ci.yml: test2

Signed-off-by: 's avatarAdam Wujek <dev_public@wujek.eu>
Signed-off-by: 's avatarIEEE 1588 Working Group <1588officers@listserv.ieee.org>
parent 7c53f750
Pipeline #5401 passed with stages
in 61 minutes and 5 seconds
...@@ -16,7 +16,7 @@ job_scb_top_sim: ...@@ -16,7 +16,7 @@ job_scb_top_sim:
- git submodule sync & git submodule update --init - git submodule sync & git submodule update --init
- apt-get install -y python - apt-get install -y python
- cd top/bare_top - cd top/bare_top
- python gen_sdbsyn.py --project wr_switch - python gen_sdbsyn.py --user "CI ${GITLAB_USER_NAME}" --project WRS_sim --ver "14.7"
- cd ../../modules/wrsw_hwiu - cd ../../modules/wrsw_hwiu
- python gen_ver.py - python gen_ver.py
- cat gw_ver_pkg.vhd - cat gw_ver_pkg.vhd
...@@ -44,23 +44,29 @@ job_scb_top_8p_syn: ...@@ -44,23 +44,29 @@ job_scb_top_8p_syn:
- ls -l .. - ls -l ..
- du -sh * - du -sh *
- cd top/bare_top - cd top/bare_top
- python gen_sdbsyn.py --project wr_switch - which python
- python gen_sdbsyn.py --user "CI ${GITLAB_USER_NAME}" --project WRS_8p --ver "14.7"
- cat synthesis_descriptor.vhd - cat synthesis_descriptor.vhd
- find / | grep hdlmake
- cd ../../modules/wrsw_hwiu - cd ../../modules/wrsw_hwiu
- python gen_ver.py - python gen_ver.py
- cat gw_ver_pkg.vhd - cat gw_ver_pkg.vhd
- cd ../../syn/scb_8ports - cd ../../syn/scb_8ports
- which hdlmake
- hdlmake --version
- hdlmake makefile - hdlmake makefile
- make - make
- grep '^All constraints were met.$' *.par || { echo "Not all constraints were met!"; false; }
after_script: after_script:
- du -sh * - du -sh *
- tar cJvf hdlmake.tar.xz /root/hdl-make /usr/local/bin/hdlmake*
- tar cJvf ../repo.tar.xz --exclude=.git * - tar cJvf ../repo.tar.xz --exclude=.git *
- mv ../repo.tar.xz . - mv ../repo.tar.xz .
- tar cJvf syn-report.tar.xz syn - tar cJvf syn-results.tar.xz syn
- ls -l . - ls -l .
artifacts: artifacts:
when: always when: always
name: SCB_TOP_8P_CI_$CI_JOB_ID name: wr-switch-hdl_8P_CI_$CI_JOB_ID
paths: paths:
- syn/scb_8ports/*.syr - syn/scb_8ports/*.syr
- syn/scb_8ports/*.mrp - syn/scb_8ports/*.mrp
...@@ -68,8 +74,9 @@ job_scb_top_8p_syn: ...@@ -68,8 +74,9 @@ job_scb_top_8p_syn:
- syn/scb_8ports/*.bin - syn/scb_8ports/*.bin
- syn/scb_8ports/*.par - syn/scb_8ports/*.par
- syn/scb_8ports/*.twr - syn/scb_8ports/*.twr
- syn-report.tar.xz - syn-results.tar.xz
- repo.tar.xz - repo.tar.xz
- hdlmake.tar.xz
job_scb_top_18p_syn: job_scb_top_18p_syn:
stage: syn stage: syn
...@@ -81,22 +88,28 @@ job_scb_top_18p_syn: ...@@ -81,22 +88,28 @@ job_scb_top_18p_syn:
- source ~/setup_ise147.sh - source ~/setup_ise147.sh
- source /opt/Xilinx/14.7/ISE_DS/settings64.sh - source /opt/Xilinx/14.7/ISE_DS/settings64.sh
- cd top/bare_top - cd top/bare_top
- python gen_sdbsyn.py --project wr_switch - which python
- python gen_sdbsyn.py --user "CI ${GITLAB_USER_NAME}" --project WRS_18p --ver "14.7"
- cat synthesis_descriptor.vhd - cat synthesis_descriptor.vhd
- find / | grep hdlmake
- cd ../../modules/wrsw_hwiu - cd ../../modules/wrsw_hwiu
- python gen_ver.py - python gen_ver.py
- cat gw_ver_pkg.vhd - cat gw_ver_pkg.vhd
- cd ../../syn/scb_18ports - cd ../../syn/scb_18ports
- which hdlmake
- hdlmake --version
- hdlmake makefile - hdlmake makefile
- make - make
- grep '^All constraints were met.$' *.par || { echo "Not all constraints were met!"; false; }
after_script: after_script:
- du -sh * - du -sh *
- tar cJvf ../repo.tar.xz --exclude=.git * - tar cjvf hdlmake.tar.bz2 /root/hdl-make /usr/local/bin/hdlmake*
- mv ../repo.tar.xz . - tar cjvf ../repo.tar.bz2 --exclude=.git *
- tar cJvf syn-report.tar.xz syn - mv ../repo.tar.bz2 .
- tar cjvf syn-results.tar.bz2 syn
- ls -l . - ls -l .
artifacts: artifacts:
name: SCB_TOP_18P_CI_$CI_JOB_ID name: wr-switch-hdl_18P_CI_$CI_JOB_ID
paths: paths:
- syn/scb_18ports/*.syr - syn/scb_18ports/*.syr
- syn/scb_18ports/*.mrp - syn/scb_18ports/*.mrp
...@@ -104,5 +117,6 @@ job_scb_top_18p_syn: ...@@ -104,5 +117,6 @@ job_scb_top_18p_syn:
- syn/scb_18ports/*.bin - syn/scb_18ports/*.bin
- syn/scb_18ports/*.par - syn/scb_18ports/*.par
- syn/scb_18ports/*.twr - syn/scb_18ports/*.twr
- syn-report.tar.xz - syn-results.tar.bz2
- repo.tar.xz - repo.tar.bz2
- hdlmake.tar.bz2
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment