Commit 3896d02c authored by Konstantinos Blantos's avatar Konstantinos Blantos

Update scb_top_sim.vhd

parent c60fd5e5
......@@ -189,8 +189,8 @@ begin -- rtl
clk_dmtd_i => clk_dmtd_i,
-- clk_sys_i => clk_sys_i,
clk_aux_i => clk_aux_i,
clk_ext_mul_i => '0',
clk_ext_mul_locked_i=> (others=>'0'), --'1'
clk_ext_mul_i => "00",
clk_ext_mul_locked_i=> '1',
cpu_wb_i => cpu_wb_in,
cpu_wb_o => cpu_wb_out,
cpu_irq_n_o => cpu_irq_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment