Commit 34add7a9 authored by Konstantinos Blantos's avatar Konstantinos Blantos

Update scb_top_sim.vhd. given to the unused input ljd signals, '0' value for the…

Update scb_top_sim.vhd. given to the unused input ljd signals, '0' value for the simulator to not complain
parent d46a4720
...@@ -189,7 +189,7 @@ begin -- rtl ...@@ -189,7 +189,7 @@ begin -- rtl
clk_dmtd_i => clk_dmtd_i, clk_dmtd_i => clk_dmtd_i,
-- clk_sys_i => clk_sys_i, -- clk_sys_i => clk_sys_i,
clk_aux_i => clk_aux_i, clk_aux_i => clk_aux_i,
clk_ext_mul_i => (others=>'0'), clk_ext_mul_i => '0',
clk_ext_mul_locked_i=> (others=>'1'), clk_ext_mul_locked_i=> (others=>'1'),
cpu_wb_i => cpu_wb_in, cpu_wb_i => cpu_wb_in,
cpu_wb_o => cpu_wb_out, cpu_wb_o => cpu_wb_out,
...@@ -225,10 +225,10 @@ begin -- rtl ...@@ -225,10 +225,10 @@ begin -- rtl
i2c_sda_oen_o => i2c_sda_oen, i2c_sda_oen_o => i2c_sda_oen,
i2c_sda_o => i2c_sda_out, i2c_sda_o => i2c_sda_out,
i2c_sda_i => i2c_sda_in, i2c_sda_i => i2c_sda_in,
ljd_loopback_i => open, ljd_loopback_i => '0',
ljd_osc_freq_i => open, ljd_osc_freq_i => (others=>'0'),
ljd_pll_miso_i => open, ljd_pll_miso_i => '0',
ljd_pll_locked_i=> open ljd_pll_locked_i=> '0'
); );
gen_phys : for i in 0 to g_num_ports-1 generate gen_phys : for i in 0 to g_num_ports-1 generate
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment