Commit 1c33a80f authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

wrsw_ext_board: synchronous reset for FSM

parent 78d3f242
......@@ -85,10 +85,11 @@ begin
FSM_INST : process (clk_sys_i)
begin
if rising_edge(clk_sys_i) then
if rst_n_i = '0' then
board_detected_o <= '0';
fsm_state <= INIT;
elsif rising_edge(clk_sys_i) then
else
case fsm_state is
when INIT =>
board_detected_o <= '0';
......@@ -122,6 +123,7 @@ begin
fsm_state <= INIT;
end case;
end if;
end if;
end process;
end Behavioral;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment