• Grzegorz Daniluk's avatar
    wr_endpoint: fix sof detection in tx_framer · c3436b41
    Grzegorz Daniluk authored
    Under higher load of traffic SOF was being detected while main FSM was
    not yet done with sending frame. That caused OOB FSM to reset and "tx
    timestamp never became available" warnings in WR PTP Core software.
    c3436b41
Name
Last commit
Last update
ip_cores Loading commit data...
modules Loading commit data...
platform Loading commit data...
sim Loading commit data...
syn/spec_1_1/wr_core_demo Loading commit data...
testbench Loading commit data...
top Loading commit data...
.gitignore Loading commit data...
.gitmodules Loading commit data...
Manifest.py Loading commit data...
README Loading commit data...
README_IMPORTANT Loading commit data...