• Maciej Lipinski's avatar
    [wr-streamers] bugfix and added reset of stats after (re-)start and synch · 79f5b749
    Maciej Lipinski authored
    1) bugfix:
       - tm_time_valid_i signal from ref_clk domain was used in sys_clk
         to gate statistics in xrx_streamers_stats.vhd - likely this gating
         was not needed because statistics are done when rcvd_latency_valid_i
         is true and this should be true only when time is valid, anyway
       - added gc_sync_ffs to synchronize tm_time_valid_i to sys_clk
         (added in xrtx_streamers_stats.vhd
    
    2) new feature
       - added initial reset of statistics when the WR node is first
         synchronized after powerup/reset.
       - this is useful so that we have a valid reset timestamp without
         a need for a management tool to reset them. So far, when a device
         was started, the reset timestamp was zero, so without additional
         action of reseting stats, it was not possible to know when the
         stats were started
    79f5b749
Name
Last commit
Last update
..
fabric Loading commit data...
timing Loading commit data...
wr_dacs Loading commit data...
wr_eca Loading commit data...
wr_endpoint Loading commit data...
wr_mini_nic Loading commit data...
wr_nic Loading commit data...
wr_pps_gen Loading commit data...
wr_si57x_interface Loading commit data...
wr_softpll_ng Loading commit data...
wr_streamers Loading commit data...
wr_tbi_phy Loading commit data...
wr_tlu Loading commit data...
wr_txtsu Loading commit data...
wrc_core Loading commit data...
Manifest.py Loading commit data...